altera

Altera FPGA时序约束set_false_path

匿名 (未验证) 提交于 2019-12-03 00:20:01
A false path can be a path logically impossible. Let's take a circuit shown below as an example. As we can see from the diagram, it is logically impossible from a1, through f1 and b2, to f2. It also logically impossible from b2, through f1 and a2, to f2. In such cases, we can use PrimeTime command set_false_path to disable the timing paths.. set_false_path -through a1 -through b2 set_false_path -through b1 -through a2 A false path can also be a path cross asynchronous clock domains. Let's assuming clk1 is asynchronous to clk2, we can also disable the false paths like following. set_false_path

Altera DDR2控制器学习笔记

匿名 (未验证) 提交于 2019-12-03 00:03:02
Altera DDR2控制器使用IP的方式实现,一般很少自己写控制器代码。 ddr22 ddr22_inst ( .aux_full_rate_clk (mem_aux_full_rate_clk), .aux_half_rate_clk (mem_aux_half_rate_clk), .global_reset_n (global_reset_n), .local_address (mem_local_addr), .local_be (mem_local_be), .local_burstbegin (local_burstbegin_sig), .local_init_done (), .local_rdata (mem_local_rdata), .local_rdata_valid (mem_local_rdata_valid), .local_read_req (mem_local_read_req), .local_ready (mem_local_ready), .local_refresh_ack (), .local_size (mem_local_size), .local_wdata (mem_local_wdata), .local_write_req (mem_local_write_req), .mem_addr (mem_addr[12 : 0])

Altera cyclone系列altlvds调试

匿名 (未验证) 提交于 2019-12-02 23:49:02
altlvds的收发核有两种使用方式,但模型都是一样的,发送端给出发送时钟和数据,接收端接收随路时钟和数据; 方式一:内部PLL 发送核发出的是慢时钟,但该方式仿真只能到500Mbps; 使用该方式时,收、发核同源的话可以共享PLL; 使用此方式时接收端需要做数据拼接。 方式二:外部PLL 这样的好处是不占用PLL,对于一块上下行接口的板卡来说,需要加入2对tx、rx核; 随路时钟300M,数据速率600Mbps,实测稳定; 使用此方式时,需要采用同步字,根据输入的快时钟找出采样时钟。也就是需要接收端自己写逻辑进行Link,我实测上电后只要Link一次,就确定了快时钟和采样时钟的相位,以后无需再次Link。

quartus modelsim-altera, Can't launch the Modelsim-Altera Software

匆匆过客 提交于 2019-12-02 07:50:16
如果你的链接目录是如下: “C:\altera\13.1\modelsim_ase\win32aloem” 在有的电脑上是可以使用的,就是能链接上的,但是有些电脑就不行的,我就遇到了这种奇葩的问题,同样的设置方式在不同的电脑上一个能用,一个报错。 但是路径如下目录就可以了,其实就增加“\”,就可以了,很是神奇........无语!!! “C:\altera\13.1\modelsim_ase\win32aloem\” 来源: CSDN 作者: 喵喵苗 链接: https://blog.csdn.net/mish84/article/details/50977924

如何安裝Quartus II 8.0 for Linux? (SOC) (Quartus II) (Linux)

半腔热情 提交于 2019-12-02 07:49:45
Abstract Quartus II 8.0 for Linux的安裝方式。 Introduction 轉貼自 NiosWiki 的 QuartusforLinux The latest Altera Design Software 8.0 is supported on the following Linux operating systems and versions: Red Hat Enterprise Linux 3 (32 bit & 64 bit) Red Hat Enterprise Linux 4 (32 bit & 64 bit) SUSE 9 Enterprise Linux You can use CentOS 4.6 , which is free and binary compatible with RHEL4. You may find step by step guide on Linux installation and usage from Redhat's doc . It is possible to use other Linux distro. The procedures described here work with Ubuntu 8.04, Fedora 9 and Suse 10.3. Make sure you have

ubuntu14.04 64bit 安装 && 破解quartus13.0 记录

China☆狼群 提交于 2019-12-02 07:49:30
安装文件:Quartus-13.0.0.156-linux.iso Quartus-13.0.0.156-devices-1.iso 1、挂载:sudo mount -o loop Quartus-13.0.0.156-linux.iso /media/mnt //mnt 提前建立好 2、直接运行 sudo ./setup.sh 即可安装 我的安装目录:/usr/local/altera/13.0/quartus 3、 1)、quartus安装完成后,直接执行运行/usr/local/altera/13.0/quartus/bin/目录下的quartus即可,(./quartus)但是此时运行的是32位的quartus, 卸载此安装镜像,挂载另一个安装镜像:Quartus-13.0.0.156-devices-1.iso 2)、devices安装方法是 终端进入autorun文件,执行sudo ./autorun, 不要被下面的autorun.exe 吓着。。 4、想要运行64位的quartus需要以下操作 建立执行脚本 #!/bin/sh export QUARTUS_ROOTDIR_OVERIDE=/usr/local/altera/13.0 //我的安装目录 export LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:/usr/lib/x86_64

quartus 10 for linux 安装

╄→гoц情女王★ 提交于 2019-12-02 07:47:09
我的系统是fedora 14 命令如下: su root password: sh 10.0_quartus_linux.sh 对quartus*.sh进行安装 cd 10.0_quartus_linux/ ./setup 会出现 Creating directory bin Verifying archive integrity... All good. Uncompressing Altera Installer............................................................................................................................ Fontconfig error: "conf.d", line 1: no element found Fontconfig warning: line 73: unknown element "cachedir" Fontconfig warning: line 74: unknown element "cachedir" ./altera_installer_gui: symbol lookup error: /usr/lib/libXi.so: undefined symbol:

ModelSim-Altera版本仿真流程

青春壹個敷衍的年華 提交于 2019-12-02 07:45:25
ModelSim-Altera版本仿真流程 1、建立ModelSim-Altera工作环境 1.1版本说明 ModelSim-Altera(OEM)version 6.4a QuartusII version 9.0 该ModelSim版本支持所有QuartusII支持的Altera器件。 1.2要自动从Quartus II运行EDA设计输入、综合、仿真或者时序分析工具,您必须指定第三方EDA工具的可执行文件位置,通过单击Tools菜单上的Options供然后点击EDA Tool Options选项。 2、用ModelSim-Altera建立工程 2.1 如果要执行时序仿真,需要产生Verilog(.vo)或者VHDL(.vho)输出文件。 a. 选择EDA Tool Settings b. 用QuartusII编译设计文件 注:如果已经编译过设计, 要重新产生.vo、.vho和.sdo(标准延迟输出文件)的话,只需要运行Start EDA Netlist Writter即可。 同时也可以用于产生.vcd文件。 如果要执行功耗估算,确保在Simulator Settings下的Settings对话框选择合适的参数。 2.2 启动Modelsim软件,选择工程目录:File—>Change Directory. 注:如果要执行功能仿真,工程目录就是包含设计文件的目录;

Altera DDR2控制器学习笔记

早过忘川 提交于 2019-11-29 11:29:39
Altera DDR2控制器使用IP的方式实现,一般很少自己写控制器代码。 ddr22 ddr22_inst ( .aux_full_rate_clk (mem_aux_full_rate_clk), .aux_half_rate_clk (mem_aux_half_rate_clk), .global_reset_n (global_reset_n), .local_address (mem_local_addr), .local_be (mem_local_be), .local_burstbegin (local_burstbegin_sig), .local_init_done (), .local_rdata (mem_local_rdata), .local_rdata_valid (mem_local_rdata_valid), .local_read_req (mem_local_read_req), .local_ready (mem_local_ready), .local_refresh_ack (), .local_size (mem_local_size), .local_wdata (mem_local_wdata), .local_write_req (mem_local_write_req), .mem_addr (mem_addr[12 : 0])

Modelsim库编译

泄露秘密 提交于 2019-11-29 05:00:39
前期准备 Altera器件安装Quartus II软件后,在安装目录下找到仿真用的ip文件,路径如: C:\altera\16.0\quartus\eda\sim_lib\ 选择所需ip文件,Verilog项目则使用.v文件。 具体步骤 Modelsim新建空白工程,工程中仅包含 单个所选ip的.v文件 ,然后编译,编译后工程目录work文件夹下将生成如下6个文件,留下备用; _info _lib.qdb _lib1_x.qdb _lib1_x.qpg _lib1_x.qtl _vmake Modelsim目录下新建altera文件夹然后再新建一个与ip名相同的文件夹,将第一步所得6个文件拷入; 找到Modelsim的配置文件 C:\modeltech64_10.4\modelsim.ini ,右键去除只读属性,然后打开,在约60行(别太前)的位置键入:ip名 = $MODEL_TECH/…/altera/ip名,如: altera_mf = $MODEL_TECH/../altera/altera_mf 其他ip按照相同方式,得到所有所需,最后还原modelsim.ini文件的只读属性。 注意及其他说明 第1步中Modelsim一次只编译一个ip的.v文件; 第2步文件夹名无强制要求。 来源: https://blog.csdn.net/TMDBYC/article