vcs

     GIT对IT界的自诉

限于喜欢 提交于 2020-11-06 09:57:48
我叫GIT,IT行业 的人基本都知道我是谁。如果你说你是一个程序员,然后你不认识我,那么我只能呵呵了。除了鄙视你外我还能想出其他的词吗?答案只有不能0.0,说起我的故事,有着一段很牛X的经历。 我的大哥叫VCS,也被人类称为版本控制系统。他 诞生于1986年,我还隐约听我母亲说当时的他还是一个shell脚本,1989年3月,人类用C语言重新设计并编写了我的大哥VCS;1993年,他渐渐正式被应用在了IT行业,自从VCS步入人类的世界中,大哥的影响对IT行业来说出现了翻天覆地的变化。刚开始大哥只是将一个人类的文件回溯到它原来的状态,或者让人类通过比较他们上传文件差异中查出是谁修改的,然后大哥进行恢复文件,让程序员们的工作量大大的减少了很多。也正因此,我大哥在家族的地位就像皇帝一般不可动摇,他发脾气没人敢得罪,那段时间我一度觉得我大哥才是真正的牛人,也将这样一直被宠下去。    直到有一天.......大哥开始渐渐消沉了下来,他发现自己开始被人类所埋怨,所吐槽了,他渐渐的感觉力不从心了,这时的我明白大哥已经老了。噩梦永远都是那么的痛苦,终于有一天,二哥和大哥因为IT界的争宠喋喋不休的吵了起来。二哥叫CVCS,也就在没过多久之后人类看出了我二哥的潜力,二哥CVCS也顺其自然的卷入了IT界的发展,二哥的表现并没有让他们失望,他众望所归。他也被大家称为集中化版本控制系统,通过一个单一的服务器

VCS仿真 Dump Memory

╄→гoц情女王★ 提交于 2020-03-18 19:51:31
VCS仿真 Dump Memory 两种方法 vcs联合verdi生成fsdb文件 vcs生成vpd文件 VCS联合verdi生成fsdb文件 1.testbench中加入如下语句: initial begin $fsdbDumpfile("tb.fsdb"); $fsdbDumpvars; end always@(posedge clk) begin $fsdbDumpMDA(R1); //每个时钟dump出mem的值,verdi记录值的变化 $fsdbDumpMDA(R2); end 2.注意调用 vcs -debug_pp 开始仿真 3.测试使用的verilog代码与仿真脚本 testbench `timescale 1ns/100ps module Testbench; reg clk; integer i; reg R1[7:0]; reg [3:0] R2[7:0]; initial begin clk = 0; for (i=0; i<8; i=i+1) begin R1[i] = 1'b0; R2[i] = 4'h0; end #10; for (i=0; i<8; i=i+1) begin R1[i] = $random; R2[i] = $random; end repeat(5) @(posedge clk); for (i=0; i<8; i=i+1)

vcs和verdi的联合仿真

纵然是瞬间 提交于 2020-03-18 18:51:35
环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置。在220实验室的服务器上所有软件的运行环境都是csh。所以,所写的脚本也都是csh的语法。 生成波形文件 Testbench的编写 若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDumpfile $fsdbDumpvars来实现的。而这两个系统调用并不是Verilog中规定的,是Verdi以pli(Programming Language Interface)的方式实现的。这就需要让vcs编译时能找到相应的库。 首先在testbench中需加入以下语句 `ifdef DUMP_FSDB initial begin $fsdbDumpfile ( "test.fsdb" ); $fsdbDumpvars ; end `endif 这个编译开关在用vcs编译时加入+define+DUMP_FSDB选项就可以打开,也可以在define.v文件中定义DUMP_FSDB这个宏。 我们现在所接触的仿真波形文件主要有 Wlf 文件 : WLF波形日志文件,是modelsim的专用文件。这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。在用modelsim做仿真时,仿真结束都会生成一个*

git基础01

末鹿安然 提交于 2020-03-17 07:41:00
版本的管理演变 1.VCS(版本控制系统)出现前: a.用目录拷贝区别不同版本 b.公共文件容易被覆盖 c.成员沟通成本高,代码集成效率低下 2.集中式VCS的特点: a.有集中的版本管理服务器(存储演变的历史版本,提供了搜索与比较各版本的能力) b.具备文件版本管理和分支管理功能 c.集成效率有明显提高 d.客户端必须时刻与服务端相连 3.分布式VCS的特点: a.服务端和客户端都有完整的版本库 c.脱离服务端,客户端照样可以管理版本 d.查看历史和版本比较等多数操作,都不需要访问服务器,比集中式VCS更能提高版本管理效率 4.Git的特点: a.最优的存储能力 b.非凡的性能 c.开源 d.很容易做备份 e.支持离线操作 f.很容易定制工作流程 来源: CSDN 作者: 商帝辛 链接: https://blog.csdn.net/qq_44868355/article/details/104878651

Android Studio Share Project On Github

半世苍凉 提交于 2020-03-14 07:52:22
在Android Studio上将项目导入Github上: 1. 创建一个Project。点击VCS -> Enable Version Control Integration... 2.选择Git。点击OK 3. VCS -> Import into Version Control -> Share Project on Github 4. 在弹出的对话框里登录GitHub账号password 5.登录成功后,弹出对话框,能够对即将导入到Github的项目进行描写叙述 6.确认后,Add Files For Inital Commit。OK 7.底部能够看到当前的进度 8. Push完成后。会提示 Share 成功 来源: https://www.cnblogs.com/lytwajue/p/6748460.html

Git之`Hello, World`

落爺英雄遲暮 提交于 2020-03-05 21:36:55
前提条件 你必须在你的操作系统安装git,如果没有的话,那请自行google:-) 懂得命令行的基本使用 那么,下面我们开始git的hello world之旅吧! 新建git repository $ mkdir ~/public_html $ cd ~/public_html $ echo 'My website is alive!' > index.html $ git init Initialized empty Git repository in /Users/longkai/public_html/.git/ 执行后生成的隐藏.git/目录就是git维护的你的这个repository的整个版本库 你的pulic_html/被称为 工作目录 向git repository中添加文件 使用git add (file|files|dirs)向repository中添加文件 一次可以添加一个或者多个文件,也可以添加一个目录 $ git add index.html 命令执行成功后,git知道了index.html将要保留在repository中,但是git只是把index.html暂存了起来,作为在下一次提交之前的一个临时动作。 git将add和commit划分为两个过程是为了保持repository的稳定性,深思熟虑后的提交不至于repository太乱:-) 接下来执行git

VCS仿真生成vpd文件(verilog)

て烟熏妆下的殇ゞ 提交于 2020-02-29 06:18:34
VCS仿真生成vpd文件(verilog): https://www.cnblogs.com/OneFri/p/5987673.html SYNOPSYS VCS常用命令使用详解 https://blog.csdn.net/hemmingway/article/details/49382551 DVE是synopsys公司VCS模拟器中的可视化图形调试软件。 DVE是VCS自带(内嵌)的图形化debug工具 vcs、dve初学习: http://www.eetop.cn/blog/html/23/422523-28713.html 来源: oschina 链接: https://my.oschina.net/u/2963604/blog/1834521

git零基础快速入门

让人想犯罪 __ 提交于 2020-02-11 06:46:51
一. 版本管理演变 1. VCS出现前版本管理方式: 1)、用目录拷贝区别不同版本 2)、公共文件容易被覆盖 3)、成员沟通成本很高,代码集成效率低下 2.集中式VCS特点; 1)、有集中的版本管理服务器 2)、具备文件版本管理和分支管理能力 3)、集成效率有明显地提高 4)、客户端必须时刻和服务器相连 3.分布式VCS特点: 1)、服务端和客户端有完整的版本库 2)、脱离服务端,客户端照样可以管理版本 3)、查看历史和版本比较多数操作,都不需要访问服务器,比集中式VCS更能提高版本管理效率 二、git的特点 最优的存储能力 非凡的性能 开源的 很容易备份 支持离线操作 很容易定制工作流程 来源: CSDN 作者: 潇湘您好 链接: https://blog.csdn.net/qq_44984558/article/details/104222017

VCS编译仿真

非 Y 不嫁゛ 提交于 2020-01-12 07:27:20
最近在学习VCS,现将VCS的一些使用心得记录下来。 VCS是synopsys的仿真verilog的仿真器。基于linux系统。有命令行模式和图形化模式。图形化模式是用的dve。 以串口verilog代码使用为例,进行VCS使用说明。 简要说明下该串口功能。该串口工作在波特率为115200,无奇偶检验位。一位停止位。当使能信号有效,就将8位数据送出去,输出结束后,在将该数据读回来。即自发自收。 测试代码如下: module ceshi_uart_test; // Inputs reg clk; reg rst_n; reg [7:0] in_data; reg w_en; // Outputs wire [7:0] data; wire tx_free; wire rx_free; // Instantiate the Unit Under Test (UUT) ceshi_uart uut ( .clk(clk), .rst_n(rst_n), .in_data(in_data), .w_en(w_en), .data(data), .tx_free(tx_free), .rx_free(rx_free) ); always #1 clk = ~clk; initial begin // Initialize Inputs clk = 0; rst_n = 0; in_data

数字SOC设计之低功耗设计入门(二)——功耗的分析

偶尔善良 提交于 2019-12-21 01:54:57
前面学习了进行低功耗的目的个功耗的构成,今天就来分享一下功耗的分析。由于是面向数字IC前端设计的学习,所以这里的功耗分析是基于DC中的power compiler工具;更精确的功耗分析可以采用PT,关于PT的功耗分析可以查阅其他资料,这里不涉及使用PT的进行功耗分析。   (1)功耗分析与流程概述   上一个小节中讲解了功耗的构成,并且结合工艺库进行简要地介绍了功耗的计算。但是实际上,我们根本不可能人工地计算实际的大规模集成电路的功耗,我们往往借助EDA工具帮我们分析电路的功耗。这里我们就介绍一下EDA工具分析功耗的(普遍)流程,然后下一小节我们将介绍低功耗电路的设计和优化。 ①功耗分析流程的输入输出 功耗分析的流程(从输入输出关系看)如下所示:            上面的图中,需要四种东西:   · tech library :这个就是包含功耗信息的工艺库了,比较精确的库里面还应该包含 状态路径(SDPD)信息 ,代工厂提供。   · netlist :设计的门级网表电路,可以通过DC综合得到。   · parasitic :设计中连线等寄生参数,比如寄生电容、寄生电阻,这个一般是后端RC寄生参数工具提供,简单的功耗分析可以不需要这个文件。   · switch activity :包含设计中每个节点的开关行为情况,比如说节点的翻转率或者可以计算出节点翻转率的文件