vcs

IC基本知识

南笙酒味 提交于 2019-12-16 03:50:57
 前面学习了进行低功耗的目的个功耗的构成,今天就来分享一下功耗的分析。由于是面向数字IC前端设计的学习,所以这里的功耗分析是基于DC中的power compiler工具;更精确的功耗分析可以采用PT,关于PT的功耗分析可以查阅其他资料,这里不涉及使用PT的进行功耗分析。   (1)功耗分析与流程概述   上一个小节中讲解了功耗的构成,并且结合工艺库进行简要地介绍了功耗的计算。但是实际上,我们根本不可能人工地计算实际的大规模集成电路的功耗,我们往往借助EDA工具帮我们分析电路的功耗。这里我们就介绍一下EDA工具分析功耗的(普遍)流程,然后下一小节我们将介绍低功耗电路的设计和优化。 ①功耗分析流程的输入输出 功耗分析的流程(从输入输出关系看)如下所示:            上面的图中,需要四种东西:   · tech library :这个就是包含功耗信息的工艺库了,比较精确的库里面还应该包含 状态路径(SDPD)信息 ,代工厂提供。   · netlist :设计的门级网表电路,可以通过DC综合得到。   · parasitic :设计中连线等寄生参数,比如寄生电容、寄生电阻,这个一般是后端RC寄生参数工具提供,简单的功耗分析可以不需要这个文件。   · switch activity :包含设计中每个节点的开关行为情况,比如说节点的翻转率或者可以计算出节点翻转率的文件

VCS option

时间秒杀一切 提交于 2019-12-14 01:34:37
转自 https://blog.csdn.net/bcs_01/article/details/79803304 VCS 的仿真选项分编译( compile-time )选项和运行( run -time )选项。 1.1 VCS 常用的编译选项 表 2 1 VCS 常用的编译选项 选项 说明 -assert dumpoff | enable_diag | filter_past 定义 SystemVerilog 断言( SVA ) dumpoff :禁止将 SVA 信息 DUMP 到 VPD 中 enable_diag :使能 SVA 结果报告由运行选项进一步控制 filter_past :忽略 $past 中的子序列 -cm <options> 指定覆盖率的类型,包括: line (行覆盖)、 cond (条件覆盖)、 fsm (状态机覆盖)、 tgl (翻转率覆盖)、 path (路径覆盖)、 branch (分支覆盖)和 assert (断言覆盖)。 如果包括两种或以上的覆盖率类型,可用“ + ”,如 -cm line+cond+fsm+tgl -cm_assert_hier <filename> 将 SVA 覆盖率统计限定在文件列表中指定的 module 层次。 -cm_cond <arguments> 进一步细化条件覆盖率的统计方式,包括: basic,std,full

100 个 PyCharm For Mac 快捷键

∥☆過路亽.° 提交于 2019-12-05 18:13:23
作为真正生产力工具的 Mac, 在 Python 开发中配合 PyCharm 快捷键, 可以让生产力倍增, 本文用 按键位分类 和 按功能分类 两种方式整理 PyCharm 的快捷键. 对于笔者认为 很有用, 但初学者可能未使用过的快捷键, 做加粗处理 按键位分类 Command + ? command + 1 展开收回 Project command + . 展开收回代码块 command + 7 文件结构窗口 command + Click 进入源码 command + R Replace, 替换 command + F Find, 查找 command + K Commit, 提交代码 command + / 注释 command + E Recent Files command + W 快速选择 command + S Save all, 保存所有 command + C Copy, 复制 command + V 粘贴 command + T 从版本控制 (VCS) 更新代码 command + < / > 跳转到行首 / 行尾 command + G Go to Line 跳转到某行 command + Y 删除整行 command + Home / End 跳转至文件首 / 尾 command + PageUp / PageDown 跳转至当前屏幕页首 / 页尾

控制器中添加控制器的常见方法

五迷三道 提交于 2019-12-04 04:34:01
控制器中添加控制器的常见方法: 1 添加子控制器:addChildViewController: 2 modal出控制器: presentViewController:<#(nonnull UIViewController *)#> animated:<#(BOOL)#> completion:<#^(void)completion#> 3 设置为rootViewController 4 UINavgationController push出来控制器:pushViewController:<#(nonnull UIViewController *)#> animated:<#(BOOL)#> 5 UINavgationController 跳转到最新的一个控制器:(不管是push出来的还是pop出来的) - (void)setViewControllers:(NSArray<UIViewController *> *)viewControllers animated:(BOOL)animated NS_AVAILABLE_IOS(3_0); // If animated is YES, then simulate a push or pop depending on whether the new top view controller was previously in the

To get a prompt which indicates Git-branch in Zsh

匿名 (未验证) 提交于 2019-12-03 01:58:03
可以将文章内容翻译成中文,广告屏蔽插件可能会导致该功能失效(如失效,请关闭广告屏蔽插件后再试): 问题: I run the following codes separately as my prompt unsuccessfully in .zshrc. This suggests me that apparently I do not have a program called __git_ps1. It is not in MacPorts. #1 PROMPT="$(__git_ps1 " \[\033[1;32m\] (%s)\[\033[0m\]")\$"$ #2 PROMPT="$(__git_ps1 " (%s)")\$"$ #3 How can you get a prompt which shows the name of a Git-branch? 回答1: __git_ps1 is from git-completion.bash. In zsh you probably have to provide your own function to determine the current directories git branch. There are quite a few blog posts about a git prompt for zsh.

IDEA下同时使用Git和svn

匿名 (未验证) 提交于 2019-12-03 00:09:02
使用Git时将文件改成Git,Svn时改成svn 修改项目下.idea目录的vcs.xml配置文件。 <? xml version = "1.0" encoding = "UTF-8" ?> <project version = "4" > <component name = "VcsDirectoryMappings" > <!-- <mapping directory="" vcs="svn" />--> <mapping directory = "" vcs = "Git" /> </component> </project> 如何添加两种版本控制: 1:项目本身开始是使用svn版本控制的,后来从码云上clone 下来一个新的空项目,把项目中的图下.git文件夹拷贝过来放到现有的项目中,就可以实现同时使用了 来源:博客园 作者: 链接:https://www.cnblogs.com/zy-jiayou/p/11556875.html

Python 最强 IDE 详细使用指南!-PyCharm

匿名 (未验证) 提交于 2019-12-02 22:51:30
PyCharm 是一种 Python IDE,可以帮助程序员节约时间,提高生产效率。那么具体如何使用呢?本文从 PyCharm 安装到插件、外部工具、专业版功能等进行了一一介绍,希望能够帮助到大家。 机器之心之前也没系统地介绍过 PyCharm,怎样配置环境、怎样 DeBug、怎样同步 GitHub 等等可能都是通过经验或者摸索学会的。在本文中,我们并不会提供非常完善的指南,但是会介绍 PyCharm 最主要的一些能力,了解这些后,后面就需要我们在实践中再具体学习了。 机器之心的读者应该非常了解 JetBrains 开发的 PyCharm 了,它差不多是 Python 最常用的 IDE。PyCharm 可以为我们节省大量时间,它能够管理代码,并完成大量其他任务,如 debug 和可视化等。 本文将介绍: 1.PyCharm 安装 2.在 PyCharm 中写代码 3.在 PyCharm 中运行代码 4.在 PyCharm 中进行代码 debug 和测试 5.在 PyCharm 中编辑已有项目 6.在 PyCharm 中搜索和导航 7.在 PyCharm 中使用版本控制 8.在 PyCharm 中使用插件和外部工具 9.使用 PyCharm Professional 功能,如 Django 支持和科学模式 本文假设读者熟悉 Python 开发,且计算机中已安装某个版本的 Python

VCS 仿真教程 lab2

折月煮酒 提交于 2019-12-02 11:17:06
lab2当中主要练习ucli的使用,lab2的加法器结构框图如下图所示: 这次给的教程文件当中已经 提前埋好了bug ,教程所做的就是debug的全流程。 PartA和PartB分别用的是修改源文件和利用ucli的办法。 PartA 1. 首先进入总目录下的./lab2/parta当中,利用.f文件运行命令: $ vcs –f adder.f -R 编译顺利通过,但是仿真的过程出现了报错: ***ERROR at time = 25750 *** a = 01, b = 01, sum = 00; cin = 0, cout = 0 在这里应该得到sum=02 ,现在需要找到出错的原因在哪里。 2.Verilog system task call verilog的task call(系统调用)可以放在RTL模块代码中也可以放在testbench当中,在实际的debug过程中,更好的选择是将task call放在testbench当中。愿意有二: (1)testbench本来就是用来检验程序结果的,使用task call以后更容易设置断点。 (2)可以避免重复编译,省略资源和时间。 现在我们用more命令,查看addertb.v文件的内容: $ more addertb.v module addertb; reg [7:0] a_test, b_test; wire [7:0]

Python 最强 IDE 详细使用指南!

风格不统一 提交于 2019-12-02 05:25:16
PyCharm 是一种 Python IDE,可以帮助程序员节约时间,提高生产效率。那么具体如何使用呢?本文从 PyCharm 安装到插件、外部工具、专业版功能等进行了一一介绍,希望能够帮助到大家。 机器之心之前也没系统地介绍过 PyCharm,怎样配置环境、怎样 DeBug、怎样同步 GitHub 等等可能都是通过经验或者摸索学会的。在本文中,我们并不会提供非常完善的指南,但是会介绍 PyCharm 最主要的一些能力,了解这些后,后面就需要我们在实践中再具体学习了。 机器之心的读者应该非常了解 JetBrains 开发的 PyCharm 了,它差不多是 Python 最常用的 IDE。PyCharm 可以为我们节省大量时间,它能够管理代码,并完成大量其他任务,如 debug 和可视化等。 很多人学习python,掌握了基本语法过后,不知道在哪里寻找案例上手。 很多已经做案例的人,却不知道如何去学习更加高深的知识。 那么针对这三类人,我给大家提供一个好的学习平台,免费领取视频教程,电子书籍,以及课程的源代码! QQ群:127341871 本文将介绍: PyCharm 安装 在 PyCharm 中写代码 在 PyCharm 中运行代码 在 PyCharm 中进行代码 debug 和测试 在 PyCharm 中编辑已有项目 在 PyCharm 中搜索和导航 在 PyCharm

Python 最强 IDE 详细使用指南!

半世苍凉 提交于 2019-12-02 05:22:21
PyCharm 是一种 Python IDE,可以帮助程序员节约时间,提高生产效率。那么具体如何使用呢?本文从 PyCharm 安装到插件、外部工具、专业版功能等进行了一一介绍,希望能够帮助到大家。 机器之心之前也没系统地介绍过 PyCharm,怎样配置环境、怎样 DeBug、怎样同步 GitHub 等等可能都是通过经验或者摸索学会的。在本文中,我们并不会提供非常完善的指南,但是会介绍 PyCharm 最主要的一些能力,了解这些后,后面就需要我们在实践中再具体学习了。 机器之心的读者应该非常了解 JetBrains 开发的 PyCharm 了,它差不多是 Python 最常用的 IDE。PyCharm 可以为我们节省大量时间,它能够管理代码,并完成大量其他任务,如 debug 和可视化等。 本文将介绍: PyCharm 安装 在 PyCharm 中写代码 在 PyCharm 中运行代码 在 PyCharm 中进行代码 debug 和测试 在 PyCharm 中编辑已有项目 在 PyCharm 中搜索和导航 在 PyCharm 中使用版本控制 在 PyCharm 中使用插件和外部工具 使用 PyCharm Professional 功能,如 Django 支持和科学模式 本文假设读者熟悉 Python 开发,且计算机中已安装某个版本的 Python。该教程将使用 Python 3.6