横空出世的Genus

别来无恙 提交于 2021-02-08 14:54:55

近些年半导体制程突飞猛进,从65到40到28到16到7到5到3,十载时光更迭了6代。猛进的制程使得单颗芯片内集成更多功能成为可能,而从可能到实现最大的挑战落在EDA工具端,一场如火如荼的技术革新引爆于EDA行业。


Cadence无疑是这场技术革新的领跑者,自带王者光环的Innovus自诞生之日起就以孤胆英雄之姿横在设想跟实现之间,完成了千万颗芯片点亮的心愿。而横空出世的Genus则是这场技术革新的另一颗硕果。




Genus是干什么的?

2015年之前,这个世上活着两个综合工具:DC跟RC,跳脱开工具具体的变量跟命令,从方法学上看,二者都是吃入library+RTL+SDC+(Power Intent+Physical),经translation —> optimization —>mapping,吐出一个映射到特定工艺库上的网表文件及一堆报告,究其本质就是在解方程求最优解。


为了弥合设计跟实现间的鸿沟,2015年6月脱胎于RC的Genus诞生于世,昭示着综合新时代的到来,相较于上一代,Genus有更大的胃口、更佳的引擎、更快的速度、更好的correlation。



Genus的大胃口

由于单一芯片内可集成更多功能模块,在一定人力的范围内,亟需EDA工具处理大模块的能力,局限于算法本身的处理能力,上一代综合工具的舒适区在2M以下,Design再大一点不止runtime长,QoR也不尽如人意。Genus解的第一个难题就是大容量,它可以轻松搞定10M的design,但进入16nm之后由于physical rule的倍增,限于PR工具的runtime,目前常见的模块规模大都在6M以下。


Genus的引擎革新

通常,一个设计的性能取决于其内部运算逻辑的性能,这些看似简单的加减乘除,一直以来都是硅农精细摆弄的对象,也是综合工具关注的核心。Genus革新了运算逻辑的优化算法,在优化之初就通过解一个PPA(performance ,power, area)的三元方程得到一个最优解,并在之后的每一步都进行求解调整,以保证在满足performance的前提下得到最小的面积跟功耗。


Genus的速度

如果单提升容量不提升速度,是无法满足当今复杂设计实现需求的。Cadence之所以可以引领这场技术革新,“大规模并行算法”功劳最大,不论是Innovus还是Genus之所以可以快速处理大规模设计完全依赖于该算法。并行运算的第一步就是切分,切分的难点在于既要保证分到每台机器上的loading相近又不能切断关键路径。以往的做法是不跨边界,按逻辑门数量划分,这样的做法完全不能保证分到每台机器上的loading相近或相同,加速受限。“大规模并行算法”采用timing driven的方式,可跨边界,且保证不切断关键路径,从而使得并行的机器loading相近,加速几乎成倍增加。




更好的correlation

40nm之后,netdelay的比重大到不能再用简单的线性模型来估算,到了16nm估算netdelay要考虑layer,到了7nmVIA的delay也要考虑在内。为了不过约且能较为精确的在综合时得到netdelay,引入了physical aware synthesis的概念,而衡量physical aware synthesis质量最重要的指标就是跟PR工具的correlation。Genus采用跟Innovu同样的placement,delay calculation,RC extraction,routing的引擎,且第一次引入early physical的概念,在Getch阶段就做place,整个优化过程都带着相对精确的net delay,从而保证了跟Innovus的correlation。


技术的发展总能给人以欣喜,这是一个技术突飞猛进的时代,而在每一项技术发展成熟的背后都堆积着无数码农硅农的累累青春,他们默默无闻地做着惊天动地的大事,感谢他们带给这个世界的一切便利。



驴说IC

本文分享自微信公众号 - 陌上风骑驴看IC(MoShangFengQiLv)。
如有侵权,请联系 support@oschina.cn 删除。
本文参与“OSC源创计划”,欢迎正在阅读的你也加入,一起分享。

标签
易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!