芯片Timing sign-off Corner理解

被刻印的时光 ゝ 提交于 2020-07-28 17:26:13

参考博文:http://blog.sina.com.cn/s/blog_5ced60e80102y7pd.html

一颗健壮的IC芯片应该具有能屈能伸的品质,他需要适应于他所在应用范围内变化的温度、电压,他需要承受制造工艺的偏差,这就需要在设计实现过程中考虑这些变化的温度、电压和工艺偏差。

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

在STA星球,用 library PVT、RC corner跟OCV来模拟这些不可控的随机因素。在每个工艺结点,通过大量的建模跟实测,针对每个具体的工艺,foundary厂都会提供一张推荐的timingsignoff表格, 建议需要signoff的corner及各个corner需要设置的ocv跟margin。这些corner能保证大部分芯片可以承受温度、电压跟工艺偏差,一个corner=libraryPVT+ RC corner + OCV,本文将关注于library PVT。

------OCV(on-chip-variation)也是用来模拟cell的PVT及线的RC变化,与前面两个不同的是,前两者是芯片全局的PVT/RC Corner,OCV是芯片上内的局部偏差(包括process 、 voltage、temperature、network RC)。比如在STA分析setup时,并不是用最慢的library PVT来signoff就是最差情况,对于capture clock如果其跑的更快了,那么应该是更悲观的情况,对RC Corner也同理;所以在STA分析时,要结合libraray PVT/RC corner/OCV三者才能cover到芯片最坏情况。
PVT也称为Operating condition,是STA一个基本且重要的概念,在library的表头会有operating condition的定义,如下图,其中『ss0p81vm40c』是这个operating condition的名字,通常这个名字是有意义的,它会标示出该lib对应的电压跟温度,如0p81对应于voltage:0.81,m40c对应于temperature:-40度。
芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

P-process:IC制造工艺本身的不完美,使得制造偏差不可避免,在library中会用一个百分比来表示工艺偏差,如process:1表示没偏差。在沉积或参杂过程中,杂质浓度密度、氧化层厚度、扩散深度都可能发生偏差,从而导致管子的电阻跟阈值电压发生偏差;光刻过程中由于分辨率的偏差会导致管子的宽长比产生偏差。而这些偏差,都会导致管子性能的差异。

V-voltage:管子的延时取决于饱和电流,而饱和电流取决于供电电压。且不论多电压域芯片,就单电压芯片而言,电池的供电电压本身就在一个范围内变化,再加上片外或片上voltage regulator的误差、再加上IR,一个芯片上的每个管子都可能工作在不同电压下,从而性能也有所差别。
T-temperature:在日常操作中,IC芯片必须适应温度不恒定的环境,当芯片运行时,由于开关功耗、短路功耗和漏电功耗会使芯片内部的温度发生变化。温度波动对性能的影响通常被认为是线性的,但在深亚微米温度对性能的影响是非线性的。对于一个管子,当温度升高,空穴/电子的移动速度会变慢,使延时增加,而同时温度的升高也会使管子的阈值电压降低,较低的阈值电压意味着更高的电流,因此管子的延时减小。而通常温度升高对空穴/电子移动速度的影响会大于对阈值电压的影响,所以温度升高管子的延时呈增加趋势。但是并不是温度越低管子的延时就越小,晶体管有温度翻转效应,当温度低到某个值之后,随着温度的降低,管子的延时会增加,至于温度翻转点跟具体的工艺相关。
-----上面的PVT描述有疑问,既然本文讲的是library的PVT,那么上述PVT应该是芯片的生产及工作条件,是全局偏差,比如温度和电压应该是整个芯片可能处于不同的电压温度情况,芯片内部的电压温度不同由OCV来cover
在做STA分析时,operating condition通常通过lib读入,如果多个library中的operating condition不同,通常用第一个读入的library中定义的operating condition。也可以用命令设置/定义operating condition,不同的工具有不同的实现方式,如:set_operating_condition。在debug环境时可以report_design来check当前所用的operating condition。
------对于PT而言,如果PT读入了多个operating condition的库,那个针对每个cell的operating condition的值是其link 库的值,并不是第一个读入库的定义operating condition;由第一个读入库来定义的标准为电压电容单位,transtion的pct定义标准等。
 
 
 
Timing sign-off Corner=library PVT+ RC corner + OCV,PVT在《巴山夜雨涨秋池,邀君共学PVT:STA之PVT》中。 今天来把玩一下RC corner,这里的RC指gate跟network的寄生参数,寄生参数抽取工具根据电路的物理信息,抽取出电路的电阻电容值,再以寄生参数文件输入给STA工具,常见的寄生参数文件格式为SPEF。
ICer都知道在集成电路中是多层走线的,专业术语叫metal layer,不同工艺有不同层metal layer,任何两层metal layer间由介电材料隔离,『走线』通过过孔(VIA)连接。Width跟Spacing是衡量绕线的两条最重要的物理设计规则,它们随着工艺的进步逐步减小。 介电材料、绕线材料、线间距、线宽及线的厚度这些物理特性决定了network的RC值。

Network电容:

  • 耦合电容:Coupling  capacitance=e*T/S

  • 表面电容:Surface capcitance=e*W/H

  • 边缘电容:Fringe capcitance

决定容值的因素:

  • 介电常数:e

  • 线宽:W

  • 线厚:T

  • 线间距:S

  • 介电材料的厚度:H

随着工艺进步,W, S, T 逐代递减,表面电容跟随减小,耦合电容随之增加,耦合电容在总电容中占比增加,当线厚 T 一定时为了减少耦合电容要么增加线间距要么减小介电常数。通常为了减小噪声敏感信号线(如clock net)上的耦合电容,在物理实现时会人为增加对应信号的线宽及线间距,俗称NDR。要减小介电常数需要从材料入手,从 .18开始引入low K介电材料。

Network电阻:

 

R=r/W*T, r为电阻率,除了跟线宽 W 和线厚 T 相关之外,还跟温度相关,随着温度的上升而增大。

Parameters

Resistance

Surface Capacitance

Coupling Capacitance

温度增加

增加

--

--

线宽减小

增加

减小

--

线厚减小

增加

--

减小

线间距减小

无影响

无影响

增加

 

由上面的分析可知,Network的单位电容和单位电阻是不可能同时最大或同时最小的。有了这些铺垫,来看一下不同工艺结点是如何定义RC corner的。

 

90nm 之前,Cell delay占主导,Network电容主要是对地电容,STA只需要两个RC corner即可:

  • Cbest(Cmin): 电容最小电阻最大

  • Cworst(Cmax):电容最大电阻最小

 

90nm 之后,netdelay的比重越来越大,而且network的耦合电容不可忽略,所以又增加了两个RC corner:

 

  • RCbest(XTALK corner): 耦合电容最大,(对地电容*电阻)最小

  • RCworst(Delay corner): 耦合电容最小,(对地电容*电阻)最大

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

至此总共有两个需要setup timing sign-off的RC corner,有四个需要hold timing sign-off的RC corner:

 

  • Setup time sign-off 的RC corner是: Cworst / RCworst

  • Hold time sign-off 的RC corner是: Cbest / RCbest / Cworst / RCworst

 

C-best:

  • It hasminimum capacitance. So also known as Cmin corner.

  • Interconnect Resistance is larger than the Typical corner.

  • This corner results in smallest delay for paths with short nets and can be used for min-path-analysis.

C-worst:

  • Refers tocorners which results maximum Capacitance. So also known as Cmax corner.

  • Interconnect resistance is smaller than at typical corner.

  • This corners results in largest delay for paths with shorts nets and can be used for max-path-analysis.

RC-best:

  • Refers tothe corners which minimize interconnect RC product. So also known as RC-mincorner.

  • Typicallycorresponds to smaller etch which increases the trace width. This results insmallest resistance but corresponds to larger than typical capacitance.

  • Corner has smallest path delay for paths with long interconnects and can be used for min-path-analysis.

RC-worst:

 

  • Refers tothe corners which maximize interconnect RC product. So also known as RC-maxcorner.

  • Typicallycorresponds to larger etch which reduces the trace width. This results inlargest resistance but corresponds to smaller than typical capacitance.

  • Corner has largest path delay for paths with long interconnects and can be used for max-path-analysis.

------C = a * W *L    R = b *L/W    RC =  ab * L^2

所以对于短线来说(L很小),RC由于与L的平方成正比,非常小,电容起主要作用。对于长线RC起主要作用。

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

引入的DPT(Double Patterning Technology)之后,在同一层layer上要做两次mask,两次mask之间的偏差,会导致线间距变化,从而影响耦合电容值,需要将这一因素考虑到RC corner中,所以DPT 的RC corner是:Cworst_CCworst, RCworst_CCworst, Cbest_CCbest, RCbest_CCbest.


芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

其中: 

  • Setup timesign-off 的RC corner是: Cworst_CCworst / RCworst_CCworst

  • Hold timesign-off 的RC corner是: Cbest_CCbest / RCbest_CCbest / Cworst_CCworst /RCworst_CCworst


芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC



除以上这些corner外,还有一个corner叫Typical corner,对应于DPT的是Ctypical_CCworst, Ctypical_CCbest,这些corner不用于timing sign-off。

 

Q:还有一种RC corner 带后缀『_T』,只用于setup signoff,T指的是什么?

A:T代表tighten,在rc的variation上的sigma分布比不带T的更紧,因此只能用于setup,hold不推荐。Appleto Apple地比较,T的variation更小,理论看到的rc变化更小,单从setup产生violation的可能性更小。至于悲观还是乐观,除非自己定criteria,其余的follow foundry或者vendor的rule最重要。

 

Q:为什么setup既需要sign-off C-corner又需要sign-offRC-corner?

A:因为C-corner表示『电容』最大/最小,而RC-corner是『电容*电阻』最大/最小。通常对于短线而言,电容占主导地位,C-corner可以cover RC-corner,但对于长线则电阻占主导地位,C-corner无法cover RC-corner,而是反过来RC-corner去cover C-corner。而没人保证一个设计里只有短线没有长线,也没权威对长短的幅度有量化的定义,所以最保险的就是两者分别sign-off。

 

 

Q:为什么hold需要sign-off所有的corner?

A:对于hold而言,根据其仿真曲线,相互之间都无法完全覆盖,故需要sign-off所有corner。 

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

 

Q:金属电阻是否跟density/spacing相关?为什么方块电阻跟density/spacing相关?

A:就电阻本身而言,阻值跟density没有关系,只跟金属线自身的宽厚和电阻率相关,详细描述:

There is no relationship between the density of a metal and itselectrical resistivity. 

 

There is a big database of material properties called MatWeb which is recommend as a legitimate source of data by UCSD's and Stanford's library systems, Rose-Hulman, etc. I took data fromaround 60 different metals and graphed them:

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC

As you can see there is no empirical relationship. From a theoryperspective, density has to do with atomic packing and resistivity has to dowith electronic structure. 

Iwill admit, however, that gaseous copper is an extremely poor conductor.

 

但是,在半导体制造过程中,由于工艺偏差,电阻跟金属线的density是相关的。此时,电阻率是线宽跟线间距的函数。这一关系,在foundry给的工艺文件里都有相应的描述,这些都是在抽RC时需要考虑的因素。

Resistivity as a Function of Width and Spacing (Rs = f(W) or rho=f(W,S))

Variationin resistivity is caused by a number of phenomena. Copper is a softer materialthan the dielectric in which it is embedded. As a result, the polishing of thewafer during the CMP process has a tendency to remove a little extra copperfrom the top of the wire. This effect is called dishing because of the shape ofthe resulting wire top. The effect becomes more pronounced as the wire widthincreases. This effect is shown in exaggerated form in following pic.

芯片signoff的Corner设置----转载并整理from微信公众号陌上风骑驴看IC


To reduce theeffect of dishing on wide wires, small holes, or slots, can be inserted atregular intervals in wide wires. These slots insert a form of hard"posts" in the wire so that the CMP process removes less copper. Thistechnique reduces the dishing, as well as the effective resistivity.

Anothercontributor to resistivity variation is the cladding in copper wires. Claddingis the material grown around the sides and bottom of copper wires to protectthem from chemical reactions with the dielectric material. This cladding isillustrated in gray in following pic. The thickness ofthe cladding on the sides and bottoms of wires also varies with the width of awire. Because cladding has a much higher resistance than copper, it impacts theeffective resistivity of copper wires. This effect is more pronounced in thenarrowest wires. The combination of the effects ofdishing, slotting, and cladding thickness is modeled by the wire resistivity asa function of the wire width in silicon, and its spacing.

 

Q:在《抽刀断水水更流,RC Corner不再愁:STA之RC Corner》中说电阻跟spacing无关,在《一曲新词酒一杯,RC Corner继续飞: STA之RC Corner拾遗》又说电阻跟spacing有关,为什么电阻跟spacing就相关了?

A:就电阻本身是跟spacing没有无关的,但在半导体制造过程中,因为铜软,所以在dishing时会把铜线切掉一些,这跟那个范围的金属线密度相关,而且这个关系好像也不是线性的。线的密度不同,会使得制造过程对线的厚度造成的影响不同,线厚度被改变了,那阻值自然被改变了。在QRC抽RC的时候会把这一效应模拟成『电阻率=f(W,S)』。 这一效应在foundry给的工艺文件里有相应的描述。

@SteveB 后端角度?如果讲RC 后端抽怎么考虑,讲好原理以后剩下的就是按照RC corner 加上温度抽,交给工具。如果想要知道原理,有几个方面可以去考虑,也是一般后端拿到新工艺需要去研究的:

  • 对于寄生参数提取,相关的各个工具的技术文件怎么来?

  • 每个corner下的配合PVT signoff里的T趋势如何,是否可以对signoff做精简 ?

  • double pattern multiple pattern 的影响如何?

  • 研究DFM。

当然还有其他,我这里想到比较能自己去学的有这些。 

 

Q:通常说的ttcorner指的是啥?

A:@孟时光 ttcorner是指管子在tt+RCtyp吧。

 

Types of corners

When working in the schematic domain, we usually only work with front end of line (FEOL) process corners as these corners will affect the performance of devices. But there is an orthogonal set of process parameters that affect back end of line (BEOL) parasitics.

 

FEOL corners

One naming convention for process corners is to use two-letter designators, where the first letter refers to the N-channel MOSFET (NMOS) corner, and the second letter refers to the P channel (PMOS)corner. In this naming convention, three corners exist: typical, fast and slow. Fast and slow corners exhibit carrier mobilities that are higher and lower than normal,respectively. For example, a corner designated as FS denotes fast NFETs and slow PFETs.

There are therefore five possible corners:typical-typical (TT) (not really a corner of an n vs. p mobility graph, butcalled a corner, anyway), fast-fast (FF), slow-slow (SS), fast-slow (FS), andslow-fast (SF). The first three corners (TT, FF, SS) are called even corners,because both types of devices are affected evenly, and generally do notadversely affect the logical correctness of the circuit. The resulting devicescan function at slower or faster clock frequencies, and are often binned as such. The last two corners (FS, SF) are called "skewed"corners, and are cause for concern. This is because one type of FET will switchmuch faster than the other, and this form of imbalanced switching can cause oneedge of the output to have much less slew than the other edge. Latching devices may then record incorrect values in the logic chain.

 

BEOL corners

In addition to the FETs themselves, there are more on-chip variation (OCV) effects that manifest themselves at smaller technology nodes. These include process, voltage and temperature (PVT) variation effects on on-chip interconnect, as well as via structures.

Extraction tools often have a nominal corner to reflect the nominal cross section of the process target.Then the corners cbest and cworst were created to model the smallest and largest cross sections that are in the allowed process variation. A simple thought experiment shows that the smallest cross section with the largest vertical spacing will produce the smallest coupling capacitance. CMOS Digital circuits were more sensitive to capacitance than resistance so this variation was initially acceptable. As processes evolved and resistance of wiring became more critical, the additional rcbest and rcworst were created to model the minimum and maximum cross sectional areas for resistance.But the one change is that cross sectional resistance is not dependent on oxide thickness (vertical spacing between wires) so for rcbest the largest is used and for rcworst the smallest is used.

 

Parameters 

Most importantly, the corners of a process are designated by five parameters

  • Mobility variation due to implantation of N+ and P+

  • Vth variation

  • Resistance of the actives

  • Body coefficient

  • Oxide thickness 

Other parameters like the effective Length, effective width, Cjsw caps, Cj caps also change. 

注:所以TT corner并不是一个需要sign-off的corner。

易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!