tie two inout together vhdl

馋奶兔 提交于 2020-01-25 05:05:06

问题


I want to drive a birectionnal logic signal through the FPGA. PGD_ICD <--> PGD_TARGET for those who have recognized the Microchip ICD3 you know that PGD line is bidirectional. I've read that we can't do something like that but have you any idea ? many thanks


回答1:


Passing a bidirectional bus through an FPGA without knowing the bus protocol won't work.

While FPGA I/O pins do support tristate logic signals (floating output state), you will need to know when to drive a value onto the output, and when to tristate the output (high impedence, or 'Z').

Once you have a signal (for example out_enable) that is '1' when you want to drive a value and '0' when the output should be floating, you can use a tri-state buffer to drive the output:

out_pin <= out_signal when(out_enable='1') else 'Z';


来源:https://stackoverflow.com/questions/17297690/tie-two-inout-together-vhdl

易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!