系统仿真

Concurrent iHawk — 实时并行计算机仿真系统

可紊 提交于 2020-04-06 14:15:22
Concurrent公司的iHawk并行计算机仿真系统是具有高实时特性的实时仿真系统,该仿真系统包含对称多处理器计算机平台、实时操作系统、实时开发工具以及应用软件。系统以MATLAB®/Simulink®软件作为前端建模工具,并可兼容C/C++、Ada和Fortran等手工编程建模方式,应用领域覆盖系统架构设计、功能设计、快速原型、半实物仿真测试等阶段。并行计算机仿真系统可以应用到工业、交通、汽车等实时仿真及测试领域。 产品介绍 并行计算机公司的实时仿真系统包括:实时多处理器平台—高性能货架式实时计算机以及多种接口类型的I/O板卡;RedHawk实时Linux操作系统—高实时确定性;Simulation WorkBench仿真工作平台—图形化的多语言模型混合仿真;NightStar实时Linux调试分析工具—保证应用程序实时确定性前提下的在线分析调试。 • 实时多处理器平台 iHawk计算机的实时多处理器平台是Concurrent公司的高性能实时多处理器平台,用于时间关键型仿真、数据采集、系统测试和工业系统的应用。 ♦ 支持多个32/64位多核Intel或AMD处理器 ♦ 外部I/O总线 ♦ 微秒级的高精度定时同步时钟板卡(RCIM) ♦ 提供8个微秒级高精度低温漂时钟 ♦ 分布式实时仿真环境 ♦ GPS时钟对准的同步选项机制 iHawk支持大量I/O及通讯板卡:RS232/422

【happyz-Java】MATLAB-FM仿真04

时间秒杀一切 提交于 2020-03-02 11:58:54
仿真结果分析 FM信号调制 以下分别为mf=0.5、mf=1和mf=3时调制与已调信号时域与频域的图形: 调制信号与载波信号的波形 mf=0.5时已调信号时域图(加入噪声为30db) mf=1时已调信号时域图(加入噪声为30db) mf=3时已调信号时域图(加入噪声为30db) 按照调频原理,已调信号在对应调制信号最大值处波形频率最大,最小处波形频率最小。而由于调频指数太小,难以观察到已调信号的频率变化。为验证调频原理。在程序调试时,设调频指数为100,可清晰地观察到频率的变化,如下: mf=100时已调信号时域图 由图可看出调制指数越大,信号带宽越大。由调频信号带宽公式Bfm=2(mf+1)fm也可推导出该结论。且观察可以发现已调信号频谱结构发生变化,说明频率调制FM为非线性调制。 正弦波调制与已调信号频域图 三角波调制与已调信号频域图 FM信号解调 以下分别为在=0.5、=1和=3时加50dB噪声前后已调信号解调后的时域图及解调信号频域的图形: mf=0.5时调制下各种解调波形 mf=1时调制下各种解调波形 mf=3时调制下各种解调波形 mf=0.5时解调信号频谱图 mf=1时解调信号频谱图 mf=3时解调信号频谱图 输入输出信噪比关系 以下分别为在=0.5、=1和=3的情况下,正弦波与三角波调制下输入输出信噪比关系曲线: 正弦波调制下输入输出信噪比关系曲线 mf=0

阿里云HPC助力新制造 | 上汽仿真计算云SSCC

a 夏天 提交于 2020-02-29 03:56:09
摘要: 据了解,借助阿里云,上汽乘用车实现了工程开发仿真能力升级,仿真计算效率提升了25%,使工程开发人员更加专注于产品设计和性能优化,打造出世界级产品的高品质。今年北京车展上全球首秀的概念车MG X-Motion,其量产车的卓越整车性能正是经过上汽仿真计算云平台反复验证和优化的。 随着上汽集团与阿里云的合作开展,阿里云各项技术逐步深入到上汽汽车研发领域的核心业务实现落地。其中上海汽车集团股份有限公司乘用车分公司(以下简称上汽乘用车)与阿里云共建的仿真计算混合云就是新制造产业升级的典型代表项目。 上汽乘用车作为上汽集团全资子公司,承担着上汽自主品牌汽车的研发、制造与销售,拥有荣威、MG两大品牌,上海、南京和英国三地技术研发中心,上海临港、南京浦口和英国长桥三个制造基地。伴随上汽乘用车的市场表现强劲,车型研发工作也在持续加速升级,而为工程仿真服务的的计算资源供应开始远远落后于现实需求,具体表现为: 【研发需求强烈】 当前CAE仿真计算已经承担非常重要的任务,普遍出现计算任务工况多、规模大、时间紧的情况,迫切需要快速获取高性能计算资源; 【资源迭代滞后】 当前上汽乘用车建设的本地HPC集群虽然经历多次扩建,但是硬件资源严重老化,硬件资源故障率居高不下,计算性能难以满足业务需求,且资源更新迭代速度缓慢,严重影响仿真研发业务进度; 【 用户体验欠佳】

Python与V-REP联合仿真

不想你离开。 提交于 2020-02-27 12:49:32
Python与V-REP联合仿真 ![](/Users/mac/Library/Mobile Documents/com apple CloudDocs/markdown/V-REP/Python与V-REP联合仿真.assets/V-REP.png) Python可以用Pycharm与Spyder,推荐Spyder,可以用Ipython。随时可以观察到变量窗口,方便调试 新建Python文件夹,将vrep根目录V-REP_PRO_EDU_V3_5_0_Mac/programming/remoteApiBindings/python/文件拷贝进去 准备以下文件: verpprogrammingremoteApiBindingspythonpython目录下的: vrep.py vrepConst.py simpleTest.py 根据系统选择:”remoteApi.dll” (Windows), “remoteApi.dylib” (Mac) or “remoteApi.so” (Linux) 打开V-REP,new scene,拖入一个UR5机械臂(也可以用别的模型) 添加代码到lua中,保存修改 simExtRemoteApiStart(19999) 注意UR5模型有自带的Lua脚本,不影响通信例子 大专栏 Python与V-REP联合仿真 blockquote> 运行V

MATLAB simulink 仿真

佐手、 提交于 2020-02-27 06:53:57
介绍简单实用使用simulink仿真 以经典chen混沌系统为例 chen混沌系统描述如下 具体功能模块加入位置为:新建slx文件后,点击tools->linbrary browser,选择功能模块。可将模块拖入新建的slx中,然后根据具体要求画出仿真图 (注:ctrl+r旋转模块方向,双击设置参数。m文件中ctrl+r/t 添加/去除注释) chen系统仿真图如下 s函数完成(用m文件表示自定义公式等) 也可使用s-function模块完成,保证在同一文件夹下添加文件名相同的m文件 s函数使用说明链接 s函数使用说明 给信号添加时延和噪声 采用以下仿真为例 在Simulink中 to workspace可将数据输出到工作区,x为y信号加噪后的,运行后可在工作区看到x和y变量。采用save 文件名 变量名1 变量名2 格式存储变量,便于下次使用 (注意to workspace 输出格式默认设置是带时间戳的,可选择Array画图,别忘了选择存储格式。from workspace 是可以从工作区取数据,但格式需要是带时间戳的) 如有补充以后添加。 来源: CSDN 作者: 河火 链接: https://blog.csdn.net/weixin_42436130/article/details/104429164

用turtlebot3和gazebo做仿真

天大地大妈咪最大 提交于 2020-02-24 20:20:35
用turtlebot3和gazebo做仿真 环境: 电脑系统:lubuntu16.04 ROS版本:kinetic 安装需要的包: sudo apt-get install ros-kinetic-turtlebot3 sudo apt-get install ros-kinetic-turtlebot3-gazebo 编写launch文件: < ? xml version="1.0" encoding="UTF - 8" ? > <launch > < !-- 部分配置参数 - - > <arg name="model" default="$(env TURTLEBOT3_MODEL)" doc="model type [burger, waffle, waffle_pi]"/> <arg name="x_pos" default="0.0"/> <arg name="y_pos" default="0.0"/> <arg name="z_pos" default="0.0"/> < !-- 启动gazebo,加载仿真环境 $(find turtlebot3_gazebo)/worlds/turtlebot3_house.world - - > <include file="$(find gazebo_ros)/launch/empty_world.launch"> <arg

CoppeliaSim 脚本(1)simulation scripts

生来就可爱ヽ(ⅴ<●) 提交于 2020-02-23 17:32:32
本文为官方文档翻译,仅供个人参考,如有侵权,联系删除 Embedded scripts CoppeliaSim是一个高度可定制的仿真器,几乎每个仿真步骤都是用户自定义的。 通过集成的脚本解释器可以实现这种灵活性。 脚本语言是Lua。 Lua脚本可以很容易的被C/C++ 代码调用,也可以反过来调用C/C++的函数,这使得Lua在应用程序中可以被广泛应用。不仅仅作为扩展脚本,也可以作为普通的配置文件,代替XML,ini等文件格式,并且更容易理解和维护。 Lua由标准C编写而成,代码简洁优美,几乎在所有操作系统和平台上都可以编译,运行。 一个完整的Lua解释器不过200k,在所有脚本引擎中,Lua的速度是最快的。这一切都决定了Lua是作为嵌入式脚本的最佳选择。(来自百度百科) https://www.runoob.com/lua/lua-tutorial.html (菜鸟联盟 Lua教程) CoppeliaSim扩展了Lua的命令并添加了CoppeliaSim特定的命令,这些命令可以通过其sim前缀来识别(例如sim.handleCollision)。 CoppeliaSim支持两种类型的嵌入式脚本: 仿真脚本(Simulation scripts): 仿真脚本是仅在仿真期间执行的脚本,用于自定义仿真或仿真模型。主仿真循环通过主脚本(main script)处理,模型/机器人通过子脚本

QuestaSim使用及Makefile命令

試著忘記壹切 提交于 2020-02-10 00:03:14
一、熟悉Linux环境下Questasim EDA Tool及GUI交互操作: 1.Questasim简介:  Questasim是由Mentor Graphics公司推出的一款功能强大的仿真工具,支持System C,Verillog,SystemVerilog以及VHDL等硬件描述语言 。它是Modelsim的加强版。QUestasim支持多种验证特性,比如coverage databases,coverage driven verification,assertions,SystemVerilog constraints-functionallity等。 2.确认Linux系统环境下的Questasim可用: 在Terminal中键入如下命令:which vsim 3.打开Questasim GUI,熟悉界面: 在Terminal中键入如下命令:vsim& 4.创建project和library文件夹,步骤基本同Modelsim:  点击菜单栏上的“File”->“New”->“Project”  对于每一个项目,需要建立一个Project,“Project Name”为新建Project的名称。 5.向project中添加代码文件:  点击上一步步骤中的”OK”之后,会显示  这里我们选择“Add Existing File”,这里指的是向projet中加入已有的代码文件

Simulink仿真入门到精通(十) S函数

无人久伴 提交于 2020-02-02 23:26:01
10.1 S函数概述 S函数也称为Simulink中的系统函数,是用来描述模块的Simulink宏函数,支持M、C等多种语言。当Simulink默认的模块不能满足用户的需求时,用户可以通过S函数自己打造一个模块,实现自定义的算法或期待的动作。 10.2 S函数的类型 S函数有多种类型,按照语言分类有M、C、C++、Fortran等编写的; 按照所支持功能多少分类,包括Level1和Level2; 按照执行方式分类,可分为直接解释运行的M S函数和编译为Mex文件后执行的C Mex S函数。 Level1 M S函数输入输出端口最多位1且数据维数固定,Level2 M S函数的输入输出端口个数可以为多个,数据维数也可以动态改变。 编写一个既能用于仿真又能用于代码生成的算法时,需要给S函数编写同名的TLC文件。 由于M语言需要调用MATLAB解释器,故C Mex S函数运行速度比M S函数更快。 10.3 S函数的要素 一个Simulink模块包括输入、输出以及内部的状态量。除了3要素之外,还有一个无处不在的时间量。 所谓状态量,根据系统性质分为连续系统中的微分量和离散系统中的差分量。 dx/dt=f(t,x,u) y=g(t,x,u) 10.4 S函数的组成及执行顺序 执行顺序: main { 初始化模型; 计算下一个采样时间点(大步长); while(未到达仿真终止时间) {

NetToPlcsim Intouch与Step7仿真

好久不见. 提交于 2020-01-31 09:16:07
**NetToPlcsim Intouch与Step7仿真 ** 一、系统环境 1、windows 10 64位操作系统 2、Step7 v5.6 chinese 3、intouch 2014 R2 SP1 4、Nettoplcsim-S7o-v-1-2-4-0 二、测试步骤 1、以管理员身份运行CMD,输入 2、打开Step7,开启仿真,选择PLCSIM(TCP/IP)。 3、设置Nettoplcsim 两个地址都设为PLC的IP地址,电脑也设成PLC的IP地址 点击左下角的Start Server 4、设置好Intouch通讯,运行Intouch仿真如下图: 来源: CSDN 作者: Simon_Simon_csdn 链接: https://blog.csdn.net/Simon_Simon_CSDN/article/details/104110328