waveform

Drawing waveform with AVAssetReader

我的梦境 提交于 2019-12-17 04:10:49
问题 I reading song from iPod library using assetUrl (in code it named audioUrl) I can play it many ways, I can cut it, I can make some precessing with this but... I really don't understand what I gonna do with this CMSampleBufferRef to get data for drawing waveform! I need info about peak values, how I can get it this (maybe another) way? AVAssetTrack * songTrack = [audioUrl.tracks objectAtIndex:0]; AVAssetReaderTrackOutput * output = [[AVAssetReaderTrackOutput alloc] initWithTrack:songTrack

Drawing waveform with AVAssetReader

試著忘記壹切 提交于 2019-12-17 04:10:38
问题 I reading song from iPod library using assetUrl (in code it named audioUrl) I can play it many ways, I can cut it, I can make some precessing with this but... I really don't understand what I gonna do with this CMSampleBufferRef to get data for drawing waveform! I need info about peak values, how I can get it this (maybe another) way? AVAssetTrack * songTrack = [audioUrl.tracks objectAtIndex:0]; AVAssetReaderTrackOutput * output = [[AVAssetReaderTrackOutput alloc] initWithTrack:songTrack

Drawing waveform with AVAssetReader

不羁岁月 提交于 2019-12-17 04:09:59
问题 I reading song from iPod library using assetUrl (in code it named audioUrl) I can play it many ways, I can cut it, I can make some precessing with this but... I really don't understand what I gonna do with this CMSampleBufferRef to get data for drawing waveform! I need info about peak values, how I can get it this (maybe another) way? AVAssetTrack * songTrack = [audioUrl.tracks objectAtIndex:0]; AVAssetReaderTrackOutput * output = [[AVAssetReaderTrackOutput alloc] initWithTrack:songTrack

Qt C++ Creating a square audio tone wave. Play and saving it

一个人想着一个人 提交于 2019-12-13 03:55:45
问题 Good day experts I need guidance. I have a situation that is two part. Firstly, I need to generate a square wave audio tone for a specific time specified using Qt C++ and I'm completely lost on even where to start. Secondly I also need to export the audio to a .wav or mp3. I read somewhere that I'll need to write WAV headers to the file before I can export the data. My second question is how to export the audio in the QBuffer to a wav file. I have found the following project on Git. However

Sinus in verilog

元气小坏坏 提交于 2019-12-13 02:57:24
问题 So I need to get sinus waveform. In cases I have wrote values of x and y axis in range of 2pi how to get the waveform of the sinus in this range? module sinus1(in,clk,res,out); input clk,res; input [7:0]in; output reg [7:0]out; always @(posedge clk) if (res) case (in) 8'b00000000: out<=8'b10000000; 8'b00000001: out<=8'b10000011; 8'b00000010: out<=8'b10000110; 8'b00000011: out<=8'b10001001; 8'b00000100: out<=8'b10001100; 8'b00000101: out<=8'b10001111; 8'b00000110: out<=8'b10010010; ... ... ...

How to Get a soundcloud like waveforms in Android

杀马特。学长 韩版系。学妹 提交于 2019-12-12 07:14:58
问题 I have generated a simple waveform like the picture below with my code. But I want to give more gap between each line I want it to be like the soundcloud waves like the picutre below. Here is my code: public class VisualizerView extends View { private static final int LINE_WIDTH = 15; // width of visualizer lines private static final int LINE_SCALE = 55; // scales visualizer lines private List<Float> amplitudes; // amplitudes for line lengths private int width; // width of this View private

Integer to unsigned conversion going wrong VHDL quartus

我与影子孤独终老i 提交于 2019-12-12 05:38:50
问题 I am having problem with an output error in a waveform, basically my code works as a counter, when i have a load signal equal '1' the counter goes up, if the load signal is '0' the counter doesn't counts. I have a clear signal to get the counter in 0, my problem is in the output, the output shows always the same value and doesn't get in 0 when the clear signal is equal 1. Below the waveform: Below the code: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tot is

Why are the pulse width and frequency of pulse not correct in my labview waveform?

馋奶兔 提交于 2019-12-11 19:17:07
问题 In the following image you see I set the pulse frequency to 50 Hz and pulse width to 2ms. The duty cycle computes to 10%, which is fed into a signal simulator. When I execute the labview program the waveform I get is shown below: This waveform is clearly not correct because pulse width and pulse frequency both appear to be about 10ms. Following is the back panel wiring diagram. My sampling rate is set to 200000Hz. Why are my pulses not showing up correctly? 回答1: You have not added the duty

Why does my waveform not have the right frequency intervals in labview?

。_饼干妹妹 提交于 2019-12-11 17:57:14
问题 In the LabView GUI I set the frequency to 300Hz = 1/300 seconds = 3.333 milliseconds and the pulse width to 2 milliseconds. This computes to a duty cycle of 60%. Why are intervals between the pulses shown below not equal to 3.333 milliseconds? They look closer to 1 millisecond,which is way off. Following is a wiring diagram I am using: Is it possible the problem is my timed loop being set to loop every second? Should I loop faster? What kind of a loop should I be using? 回答1: You have a pulse

VHDL - converting from level sampling to edge triggered - an intuitive explanation?

巧了我就是萌 提交于 2019-12-11 06:14:12
问题 I have the following code (a primitive "RS-232 signalling" transmitter)... LIBRARY ieee; USE ieee.std_logic_1164.all; entity SerialTX is port( baud_clk : in std_logic; data : in std_logic_vector(7 downto 0); send : in std_logic; serial_out : out std_logic := '0'; busy : out std_logic := '0' ); end entity; ---------------------------------------- architecture behavioural of SerialTX is constant IDLE_BITS : std_logic_vector(10 downto 0) := "00000000001"; signal shifter : std_logic_vector(10