仿真软件

Python从零实现区块链仿真【含源码】

我与影子孤独终老i 提交于 2020-04-06 18:19:06
在区块链或数字货币领域,Python并不是主流的开发语言。但是如果你的目的是研究区块链技术的原理,或者需要在自己的笔记本上仿真一个区块链网络并进行一些研究性的实验,比如完成自己的毕业设计项目或科研课题,那么Python就是合适的。在这个教程里,我们将学习如何使用Python从零开发一个多节点的区块链网络,并基于这个仿真区块链网络,开发一个去中心化的数据分享应用。 相关教程链接: 区块链毕业论文 | 以太坊 | 比特币 | EOS | Tendermint | Hyperledger Fabric | Omni/USDT | Ripple 本教程中的完整源代码可以在这里下载: https://github.com/ezpod/python-blockchain-sim 1、Python仿真区块链:用区块分批保存交易 我们首先要把数据以JSON格式存入区块链。JSON是一种常用的跨语言的数据交换格式,例如一篇博客的JSON表示看起来就像这样: { "author": "some_author_name", "content": "Some thoughts that author wants to share", "timestamp": "The time at which the content was created" } 在区块链领域,我们经常使用 交易 来代替上面说到的数据

ns3+netanim仿真点对点有限网络first脚本

蹲街弑〆低调 提交于 2020-04-04 14:37:08
存档使用,ns3及netanim安装过程不赘述。 编译前的准备工作 sudo vi myfirst.cc 在myfirst.cc里面,加上头文件: #include "ns3/netanim-module.h" run前面加上三句代码( 第二三行是描绘两个节点的坐标,也可以不要): AnimationInterface anim("myfirst.xml"); anim.SetConstantPosition(nodes.Get(0), 1.0, 2.0); anim.SetConstantPosition(nodes.Get(1), 2.0, 3.0); Simulator::Run(); Simulator::Destroy(); return 0 编译方法 ns3编译有两种方法(示例编译first) 在ns-3.27(或其他的版本)目录下运行first模拟脚本(需要在编译时启动enable-examples选项) ./waf clean ./waf -d debug --enable-examples --enable-tests configure ./waf --run first 将脚本放到scratch目录下 cp examples/tutorial/first.cc scratch/myfirst.cc //将脚本复制到scratch目录下 ./waf --run

Concurrent iHawk — 实时并行计算机仿真系统

烈酒焚心 提交于 2020-03-31 13:18:23
Concurrent公司的iHawk并行计算机仿真系统是具有高实时特性的实时仿真系统,该仿真系统包含对称多处理器计算机平台、实时操作系统、实时开发工具以及应用软件。系统以MATLAB®/Simulink®软件作为前端建模工具,并可兼容C/C++、Ada和Fortran等手工编程建模方式,应用领域覆盖系统架构设计、功能设计、快速原型、半实物仿真测试等阶段。并行计算机仿真系统可以应用到工业、交通、汽车等实时仿真及测试领域。 产品介绍 并行计算机公司的实时仿真系统包括:实时多处理器平台—高性能货架式实时计算机以及多种接口类型的I/O板卡;RedHawk实时Linux操作系统—高实时确定性;Simulation WorkBench仿真工作平台—图形化的多语言模型混合仿真;NightStar实时Linux调试分析工具—保证应用程序实时确定性前提下的在线分析调试。 • 实时多处理器平台 iHawk计算机的实时多处理器平台是Concurrent公司的高性能实时多处理器平台,用于时间关键型仿真、数据采集、系统测试和工业系统的应用。 ♦ 支持多个32/64位多核Intel或AMD处理器 ♦ 外部I/O总线 ♦ 微秒级的高精度定时同步时钟板卡(RCIM) ♦ 提供8个微秒级高精度低温漂时钟 ♦ 分布式实时仿真环境 ♦ GPS时钟对准的同步选项机制 iHawk支持大量I/O及通讯板卡:RS232/422

回忆向——诺宝RC机器人仿真

橙三吉。 提交于 2020-03-23 12:16:41
  最近突然想起小学时发生的一些事,三年机的微机课,为了防静电进教室要戴鞋套,那时候的机器是大屁股机,鼠标是老式的机械滚球鼠标,经常会抠出来玩。那时候电脑上自带的三维弹球和金山打字通游戏就是我们这群无知孩子的眼中尤物了 。依稀记得老师教我们使用一款仿真机器人的软件,能使用流程图控制机器人的移动,转向,甚至有各种传感器模拟,还有灭火机器人的实例,这些新奇的东西总能吸引我们的注意。最近心血来潮,在百度上找到了答案,原来当年那个软件叫做 诺宝RC ,今天像看一看那时觉得高深却有趣的东西到底怎么吸引了我们。   没想到诺宝RC还是有官网的( http://www.hitrobot.cn/ ),只不过官网没什么东西了,不过还是提供了软件的下载。 诺宝RC11.1.0_2017-05-07下载 一、安装 一直“下一步”就可以了,让人烦躁的是不能选择安装路径,默认装在了C盘,另外会弹出安装DirectX。 二、安装完成,看看界面 ???这个图标怎么和我记忆中有点不太一样,或许我是真的记不清了。 左下角这些模块图我还有印象,就是这流程图怎么看着有点丑,我记得可以自己拖动开始和结束程序,这个版本的开始和结束是固定的只能增加内容。 三、测试 1、直行亮灯的机器人 2、画圆 来源: https://www.cnblogs.com/trnanks/p/10958247.html

vcs和verdi的联合仿真

纵然是瞬间 提交于 2020-03-18 18:51:35
环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置。在220实验室的服务器上所有软件的运行环境都是csh。所以,所写的脚本也都是csh的语法。 生成波形文件 Testbench的编写 若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDumpfile $fsdbDumpvars来实现的。而这两个系统调用并不是Verilog中规定的,是Verdi以pli(Programming Language Interface)的方式实现的。这就需要让vcs编译时能找到相应的库。 首先在testbench中需加入以下语句 `ifdef DUMP_FSDB initial begin $fsdbDumpfile ( "test.fsdb" ); $fsdbDumpvars ; end `endif 这个编译开关在用vcs编译时加入+define+DUMP_FSDB选项就可以打开,也可以在define.v文件中定义DUMP_FSDB这个宏。 我们现在所接触的仿真波形文件主要有 Wlf 文件 : WLF波形日志文件,是modelsim的专用文件。这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。在用modelsim做仿真时,仿真结束都会生成一个*

嵌入式系统实时仿真解决方案

耗尽温柔 提交于 2020-03-17 11:09:15
ETest_RT是一款完全自主知识产权的半实物仿真测试平台(HIL)集成开发环境。该开发环境采用标准化的硬件模块和分层结构化的软件平台,实现了半实物仿真测试与验证环境搭建的功能,主要应用于装备嵌入式软件半实物仿真测试平台的快速开发与实施。 ETest_RT深度集成Simulink,提供Simulink模型的运行及验证环境。利用各种总线接口同外围其他设备连接搭建起半实物仿真环境,运行仿真模型验证功能,通过调参功能,能够自动提取模型内部的增益参数,在运行时调整参数值,可实时查看在不同参数条件下模型的运行情况。 下面就来看看ETest_RT是如何实现Simulink模型的设置和运行。 使用ETest_RT进行仿真,模型是运行在一台工控机上的。工控机预装了实时操作系统,并且能够接受运行在另一台主机上的“仿真执行器”下发的指令。这台工控机预装了一系列控制卡,可以支持各种通信接口。在半实物仿真环境中,这些通信接口都可以用来同系统的其他部件(或实物)进行连接。 执行环境准备好后,我们还需要使用ETest_RT进行模型的准备和设置。 打开ETest_RT,首先新建一个测试项目,后面的所有操作都将在这个项目中完成设置。 1 配置物理接口 从“仿真”工具栏中选择“外围系统”,“通道”添加在“外围系统”上。在“通道”上添加“协议”。协议具有数据描述功能,并且可以在运行时自动解包、打包。 图 1交联关系图

MDK stm32 AD&串口 软件仿真

让人想犯罪 __ 提交于 2020-03-12 13:47:54
Mdk软件调试,选项 选择use simulator 即软件仿真 下面红框中 一定按照上述文字一字不差填入,右下的两个一定要根据芯片填写妥当。 串口数据思路 借助串口工具将两个串口相连接 使用command将,mdk软件仿真串口输出在电脑串口上 虚拟串口工具====vspd,安装方法附带 https://blog.csdn.net/qq_34202873/article/details/88391265 串口调试助手 附带(自选) step1,利用虚拟串口将两个串口相连接,可以利用串口调试工具进行测试是否将两个串口连接 step2 开始仿真, Step3 命令行将MDK串口输出连接在电脑COM口上 MODE COM4 9600,0,8,1 ASSIGN COM4 <S1IN>S1OUT 第一行命令是改变com口模式, 第二行是将单片机(仿真虚拟软件)串口(Sx)映射(<SxIN>Sxout)到计算机COM口上。 Step4 开始运行,打开串口调试助手选择串口,此时上述被映射串口被占用,无法打开,需要打开的是与之配对的串口。 Step5 AD数据模拟输入 Perfect 来源: CSDN 作者: hanginghang 链接: https://blog.csdn.net/qq_35502383/article/details/104815855

一种新的N维查找表实现方法

泄露秘密 提交于 2020-03-07 02:11:36
注:此为论文读书笔记 英文论文原名为:《A new Implementation of the N-D Lookup Tables》 由于笔者英文水平不咋地,先翻译了一遍。 摘要  HDF5Table库是一个开源的解决方案,用于系统仿真中典型数据集的有效处理、交换(exchange)和插值(interpolating)访问。该库由C函数、Python脚本和示例组成,可以与Modelica或Simulink等不同的应用程序一起使用。此外,还提供了一套允许用户创建,迁移,编辑,比较和管理数据集的综合工具。  应用范围包括从测量或其他仿真中导入数据,在预处理例程中集成数据集,在仿真中使用数据集以及对仿真结果进行后处理。为了消除(eliminate)仿真工具或不同公司之间的数据交换后的主要误差源,并验证数据集,每个数据集可以附加物理单位和数量。可以使用不同的内插和外插方法轻松访问表数据。 为了保存(persist)和交换数据集,使用了HDF5标准的子集。 使用HDF5 API,大型文件的数据访问速度很快,其中包含多个变量,包含数百万个值,并且可以在许多其他工具中打开数据集。 关键字:HDF5; 查找表; 单位和数量安全; 插值 外插法 摘要介绍了一个叫HDF5表的标准库,看他的意思,这个库很厉害。 1 简介 查找表通常在工业仿真中起主要作用

好用的终端仿真软件:iTerm2

倾然丶 夕夏残阳落幕 提交于 2020-03-06 21:07:02
这是一款轻巧但功能强大的Mac终端仿真软件,是可替代Apple终端和iTerm的继任者。iTerm2专为高级用户,开发人员以及最近迁移到Mac并且更喜欢终端窗口提供的功能和功能的Linux用户而设计。虽然iTerm2的界面类似于终端,但该应用程序会为您与终端的互动方式带来许多变化和改进。更重要的是,iTerm2使您可以快速将选项卡拆分为多个面板,并同时使用不同的终端会话。而且借助内置的自动完成功能,提高您的工作速度,除了上述功能,iTerm2还提供通知支持,256色模式,焦点跟随鼠标功能,智能光标颜色和Exposé标签。 详情地址:https://www.macw.com/mac/1096.html 来源: oschina 链接: https://my.oschina.net/u/4471886/blog/3189211

PSpice仿真一阶LC谐振电路

孤者浪人 提交于 2020-03-05 20:03:45
一阶LC谐振电路   LC谐振电路具有选频功能,广泛用于各种通电电路。本文就一阶LC空载电路进行仿真,以此来进一步加深对电路特性的理解和记忆。 谐振   当电路中存在感性元件(如电感)或者容性元件(电容)时,信号源(比如电流源)的频率变化会使得电路总体呈现容性、感性或者电阻性。当电路呈现电阻性时,就说该电路发生了谐振。最简单的谐振电路就是一阶LC谐振电路,只由一个电感、一个电容和信号源组成。 并联谐振电路               一阶并联型LC谐振电路如上面左图所示,其中的电阻r是电感的固有损耗,这个固有损耗值一般非常小(以至于理想状态下可以完全忽略),但是一般进行谐振分析时都把它考虑在内。通常将该网络等效变换到上面的右图形式。这个电路有以下几个重要参数:   1、谐振条件$\omega C = \frac{1}{\omega L}$,满足该条件的谐振角频率$\omega_0 = \frac{1}{\sqrt{L C}}$,谐振频率$f_0=\frac {2 \pi} {\omega_0} $;   1、等效电阻$R(\omega)=\frac{(\omega L)^2}{r}$;   2、发生谐振时,$R(\omega)$记为谐振电阻$R_0$,$R_0=\frac{(\omega_0 L)^2}{r}$;   3、品质因数$Q_0=\frac{R_0}{\omega_0 L