compilation

gccfilter and gcc 4.7.2 does not work, stops compiling

|▌冷眼眸甩不掉的悲伤 提交于 2019-12-24 01:24:52
问题 I have successfully installed the gccfilter (http://www.mixtion.org/gccfilter/) in my toolchain. The filter should actually work because all perl modules and other stuff has been installed the problem is that it does not work properly when I use for example the following command line: gccfilter -c -a g++ -std=c++11 -O3 -DNDEBUG -I/"tonnes of includes" -o CMakeFiles/...../main.cpp.o -c /...path.../App/main.cpp .../variant.hpp:17:0, from .../SceneParser.hpp:12, from .../SimulationManager.hpp:12

Questions about possible java(or other memory managed language) optimizations

对着背影说爱祢 提交于 2019-12-24 01:19:13
问题 From what I have read java (usually) seems to compile java to not very (is at all?) optimised java bytecode, leaving it to the jit to optimise. Is this true? And if it is has there been any exploration (possibly in alternative implementations) of getting the compiler to optimise the code so the jit has less work to do (is this possible)? Also many people seem to have a dislike for native code generation (sometimes referred to as ahead of time compilation) for Java (and many other high level

Please help me with VHDL compile error [duplicate]

狂风中的少年 提交于 2019-12-24 01:09:26
问题 This question already has answers here : VHDL: Unable to read output status (2 answers) Closed last year . library IEEE; use IEEE.std_logic_1164.all; entity doorlock is port( reset : in std_logic; enable : in std_logic; password : in std_logic_vector (7 downto 0); door : out std_logic_vector (7 downto 0); lock : out std_logic; alarm : out std_logic; turnoff : out std_logic); end doorlock; --password is 10(decimal no.) which is 00010000(binary no.) architecture DDL of doorlock is signal err

MOC adding namespace to class names

允我心安 提交于 2019-12-24 00:48:36
问题 I have this very strange problem while compiling the project. MOC seems to be adding a namespace to the class name being moc'ed, although it's not mentioned anywhere in the file/class. The namespace, however, exists in a library which I use, but it's hidden far away in the header files and I don't use it in the UI files. This is what MOC generates: const QMetaObject SmpTl::CaptureController::staticMetaObject = { { &QObject::staticMetaObject, qt_meta_stringdata_SmpTl__CaptureController, qt

Compile jpeg_read.c as mexmaci64 for MATLAB

*爱你&永不变心* 提交于 2019-12-24 00:18:22
问题 I downloaded the jpeg_toolbox for MATLAB from this site, then downloaded the JPEG compiler tools from here. I followed the instruction to configure the whole for Mac (UNIX*). Now, I would need to compile the jpeg_read.c and jpeg_write.c contained into the first link for MATLAB, because the toolbox does not provide the mexmaci64 files. However when I try to: mex jpeg_read.c MATLAB returns the following error: Error using mex Undefined symbols for architecture x86_64: "_jpeg_CreateDecompress",

Visual Studio not compiling specific file

风流意气都作罢 提交于 2019-12-23 23:58:29
问题 I am working on an OpenGL game in C++ and am using Visual Studio 2013 for it. Until now everything worked fine but since about 3 days, one of my files is no longer compiled when I change it. The strange thing is, it is really just related to this one single file. Every other file works as expected. So if I change something in said file (it is a .h file with implementation in it) Visual Studio doesn't care. Even if I write some unexecutable statement in it, he lines it in red but doesn't

How to compile Eigen in iPhone

谁说胖子不能爱 提交于 2019-12-23 22:24:06
问题 I want to compile Eigen and use it in iPhone with NEON features. How to compile Eigen in iPhone? Do In need to compile for specific ARM chip? And do I need to modify build script? Cheers. 回答1: Since explicit vectorization for ARM NEON is supported out of the box in recent versions of Eigen I'm guessing you shouldn't have to do anything special at all. The section on installation in the manual reads: In order to use Eigen, you just need to download and extract Eigen's source code (see the wiki

Why is my application say that im missing references even though I have them?

人盡茶涼 提交于 2019-12-23 22:01:21
问题 I'm currently learning about compiling C# code into thier own exe files. It's really fascinating how it works! So far I've got this really small application which should convert text being put in to a textbox to C# code which it kinda does but it keeps telling me to add references everytime I press "Build" and I dont know why. Here is the source code: using Microsoft.CSharp; using System.CodeDom.Compiler; using System.Collections.Generic; using System.Linq; using System.Windows; namespace

Conditional exclusion of file from compilation in maven project

好久不见. 提交于 2019-12-23 18:59:33
问题 What the easiest/right way to conditionally exclude a java file from compilation in a maven project? I would like to be able to set a 'boolean' properties in the pom.xml: <properties> <IncludeMayBe>true</IncludeMayBe> </properties> ... <plugin> <groupId>org.apache.maven.plugins</groupId> <artifactId>maven-compiler-plugin</artifactId> <version>2.3.2</version> <configuration> <excludes> ???? </excludes> </configuration> </plugin> Is there a way to fiddle something with the compiler plugin? Or

@class vs. #import in header compile time saving with Clang?

笑着哭i 提交于 2019-12-23 17:52:10
问题 I have read in a couple of places that it is advisable to use declarations like @class Something in header files and only importing these classes in the .m file to save compile time. Is that really still necessary and makes compiling faster with LLVM Clang or was the compile time advantage only valid for older compilers like (old versions of) GCC? 回答1: @Eimantas is correct about the circular dependencies. It's also for performance. Imagine the case where you import A.h into B.h and B.h into C