vivado

Vivado创建带AXI slave接口的IP—PS控制PL侧的LED

邮差的信 提交于 2019-12-30 10:53:06
【推荐】2019 Java 开发者跳槽指南.pdf(吐血整理) >>> 目的:采用microblaze,添加自己的GPIO核(要在GPIO核的.v级别修改代码,增加自己的逻辑,关于如何修改IP核并打包给项目使用可以参考 原文链接 )(每一段时间发一次中断即risedge一次): 1:在block designer中不用过多描述,截图如下: 2:上面,外部端口名为LED,同时在.v中增加led,注意观察ip核名称,后面修改.v时不要搞错了 3:因为myswctrlip_v1_0_S00_AXI.v中增加了我的逻辑led部分,所以在caller中实例化时要增加led 4:myswctrlip_v1_0_S00_AXI.v中led通过slv_reg0寄存器来控制端口值,即端口的输出值为0或为1,流程为c中XGpio_DiscreteWrite写端口值,这样数据就送到了slv_reg0寄存器,而代码中led外部端口又通过assign led=slv_reg0[0]获得了程序赋的值,这样led端口自然就受到程序控制了 来源: oschina 链接: https://my.oschina.net/u/2963604/blog/3150623

Use of For loop in always block

六眼飞鱼酱① 提交于 2019-12-25 04:12:06
问题 I am writing a Verilog code for calculating the number of digits in a decimal number. In the code below I have initialised the value of c to be equal to a. I was able to get the simulation results correctly but unable to syntesise and the error is due to 'c=a'. How can I get rid of the error ? Is there any other logic to calculate the number of digits ? Error: [Synth 8-3380] loop condition does not converge after 2000 iterations Code :- module numdigits(a,b); parameter n=100; input [0:n-1] a;

GHDL simulator doesn't support vhdl attributes without error?

China☆狼群 提交于 2019-12-24 07:43:49
问题 I wrote some vivado RTL and then added some vhdl attributes to the ports of the entity to define the interface to Xilinx Vivado tool as follows: library ieee; use ieee.std_logic_1164.all; entity vivado_rtl_island is port( -- Clocks i_m50_clk :in std_logic; i_m50_rst :in std_logic; -- APB Command Inteface s_paddr :in std_logic_vector(31 downto 0); s_psel :in std_logic; s_penable :in std_logic; s_pwrite :in std_logic; s_pwdata :in std_logic_vector(31 downto 0); s_pready :out std_logic; s_prdata

Vivado Sim Error: “root scope declaration is not allowed in verilog 95/2K mode”

早过忘川 提交于 2019-12-24 06:19:09
问题 When I go to simulate my top-level module in Xilinx Vivado 2016.4, I receive the peculiar error: ERROR: [VRFC 10-1342] root scope declaration is not allowed in verilog 95/2K mode [<...>/header.vh] I am using the built-in Vivado Simulator with Verilog 2001 specified. My header.vh looks like the following: `ifndef _header_vh_ `define _header_vh_ function integer clog2; input integer value; begin value = value - 1; for (clog2 = 0; value > 0; clog2 = clog2 + 1) value = value >> 1; end endfunction

Vivado Sim Error: “root scope declaration is not allowed in verilog 95/2K mode”

喜你入骨 提交于 2019-12-24 06:18:01
问题 When I go to simulate my top-level module in Xilinx Vivado 2016.4, I receive the peculiar error: ERROR: [VRFC 10-1342] root scope declaration is not allowed in verilog 95/2K mode [<...>/header.vh] I am using the built-in Vivado Simulator with Verilog 2001 specified. My header.vh looks like the following: `ifndef _header_vh_ `define _header_vh_ function integer clog2; input integer value; begin value = value - 1; for (clog2 = 0; value > 0; clog2 = clog2 + 1) value = value >> 1; end endfunction

Add library to Vivado 2014.4

走远了吗. 提交于 2019-12-23 12:51:05
问题 I am quite new to Vivado and VHDL and I would like some guidance on a fundamental issue. I am guessing that I can create my own libraries and use them in my projects as i do with the default and fundamental ones eg: library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.std_logic_unsigned.ALL; Now, by browsing on the net, I haven't found anything concrete as an answer, there is not any direct way to "add library" (at least in my version of Vivado). Is there any way to build VHDL code with lets

vivado进阶:FPGA实现(一)

馋奶兔 提交于 2019-12-22 01:30:47
中国大学MOOC [UESTC数字设计FPGA应用] 通过实践,进一步掌握硬件描述语言(Verilog HDL)与vivado开发的全过程。在vivado的开发环境下,使用Verilog HDL编译代码。根据原理图和对于端口的说明,编写约束文件,对电路进行实现,并进行仿真,然后下载到电路板验证。 以简单工程多数表决器为例,进行实现。掌握Verilog HDL的基本语言,FPGA的仿真方法,以及开发板的使用方法。 第一个工程:多数表决器 使用FPGA实现简单的组合逻辑电路。 三个输入分别是a,b,c,输出是f。 基本思路: 1、根据问题的描述作出真值表; 2、得到最小项表达式; 3、卡诺图圈图得到逻辑函数的表达式; 4、vivado下新建工程进行开发。 进入工程 小贴士: 1、左侧PROGECT MANAGER(工程管理)中有Settings设置一项,可以对使用的开发板进行修改。 2、在比特流选项中选择-bin.file,是需要最后下载到FPGA配置的Flash。 3、发现自己找不到Sources的小框之后,可以单击左侧栏中的PROGECT MANAGER来找到。 4、界面右上角会显示项目的工作状态。 设计源文件代码 module v_dsbjq ( input a , input b , input c , output f ) ; assign f = a & b | b & c

Error “procedural assignment to a non-register result is not permitted”

流过昼夜 提交于 2019-12-18 08:55:24
问题 I'm getting the error [Synth 8-2576] procedural assignment to a non-register result is not permitted ["lpm_mult.v":29] What am i doing wrong? module lpm_mult ( dataa, datab, // multiplicand,multiplier sum, // partial sum clock, // pipeline clock clken, // clock enable aclr, // asynch clear result // product ); input clock; input clken; input aclr; input [31:0] dataa; input [31:0] datab; input [63:0] sum; output [63:0] result; always @ (clken or posedge clock) begin if (1==clken) begin assign

vivado中license获取及安装方法

回眸只為那壹抹淺笑 提交于 2019-12-16 23:20:19
vivado中license获取及安装方法 (1)先说下license安装方法(具体获取见后文) 这里以win7系统为例说明,开始–》所有程序–》找到xilinx安装文件Manage xilinx license如下图所示: 双击打开如下所示,依次点击Load license->Copy license 在弹出的对话框中选择对应的license文件即可 (2)关于license的获取见下链接 链接:https://pan.baidu.com/s/1AKAaNEggSWuRhOQSKJWc8Q 提取码:v1yz 来源: CSDN 作者: 541板哥 链接: https://blog.csdn.net/u011816009/article/details/103569000

vivado安装步骤

一笑奈何 提交于 2019-12-15 04:35:30
1.vivado安装要求:操作系统必须是64位,关闭杀毒软件,各种电脑管家,电脑用户名不能出现中文,安装路径不能出现中文和空格 2.从xilnux官网下载vivado,我选择的是vivado2017.4,解压下载安装包 2.点击 xsetup.exe,进入安装,如果提示版本更新,忽略更新,点击“Continue“” 3.点击“I Agree”接受各个条款,点击Next 4.选择第三个选项,点击Next 5.这里使用默认配置,点击 Next 6.可以修改安装路径,这里可看到 Vivado 对硬盘大小的要求,大约 33.19GB 7.点击“Install”安装 8.等待安装,时间较长 9.提示我们断开下载器或者开发板的 JTAG 线,点“确定” 10.提示安装成功,安装 License 文件,点击“Copy License”,选择license文件 11.查询license是否安装成功 12.vivado安装完成,可以使用 来源: CSDN 作者: 不吃老鼠的猫159 链接: https://blog.csdn.net/qq_39509561/article/details/103489119