Chisel3-Intellij IDEA安装Scala插件

依然范特西╮ 提交于 2021-02-18 05:32:53
 
后续开启RISC-V开发相关内容。
 
RISC-V开发推荐使用Chisel编程语言。Chisel即Constructing Hardware in a Scala Embedded Language:
Chisel is an open-source hardware construction language developed at UC Berkeley that supports advanced hardware design using highly parameterized generators and layered domain-specific hardware languages.
  • Hardware construction language (not C to Gates)
  • Embedded in the Scala programming language
  • Algebraic construction and wiring
  • Abstract data types and interfaces
  • Bulk connections
  • Hierarchical + object oriented + functional construction
  • Highly parameterizable using metaprogramming in Scala
  • Supports layering of domain specific languages
  • Sizeable standard library including floating-point units
  • Multiple clock domains
  • Generates low-level Verilog designed to pass on to standard ASIC or FPGA tools
  • Open source on github with modified BSD license
  • Complete set of docs
  • Growing community of adopters
 
Chisel基于Scala,所以Chisel开发使用Scala开发环境,项目构建使用sbt。
Scala是基于Java虚拟机的语言。Java开发IDE首选Intellj IDEA。但是Intellj IDEA不是原生支持Scala,需要安装插件。
 
安装插件的方法可以网上搜一搜,这里介绍一个比较简单的方法:
  1. 找到一个Scala源码文件,使用IDEA打开:
 
  1. 根据提示,点击安装插件即开始下载安装(国外网站,中国人需要耐心等待一会儿),安装完成之后重启即可。
 
 
Linux下Scala开发环境的安装,网上有教程。
 
https://chisel.eecs.berkeley.edu 网站上提供了很多参考资料。
 
易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!