Xilinx Zynq-7000 PL端Kintex-7架构可编程逻辑资源,LCD触摸屏接口、SMA端子

偶尔善良 提交于 2020-04-05 15:48:37

CPU

CPU为Xilinx Zynq-7000 SOC,兼容XC7Z035/XC7Z045/XC7Z100,平台升级能力强,以下为Xilinx Zynq-7000特性参数:

LCD触摸屏接口

CON8为LCD电阻触摸屏接口,为40pin、0.5mm间距LCD接口,使用FFC排线座。LCD接口包含了常见LCD所用全部控制信号(行场扫描、时钟和使能等),各引脚定义如下图所示:

SMA端子

底板提供1路全局参考时钟MRCCCLK(左下角);1路GTX参考时钟REFCLK(右上角);1组高速收发器GTX(RX、TX中间),硬件及引脚定义如下图:

 

标签
易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!