modelsim与debussy联合的问题

↘锁芯ラ 提交于 2020-03-21 21:48:35

最近用modelsim仿真,老出现Warning: (vsim-PLI-3003) F:/project/net_cfg/test_top.v(148):[TOFD] - System task or function '$fsdbDumpSingle' is not defined.

经过几番折腾,我发现是novas.dll文件的问题。在debussy的安装目录下,有几个novas.dll文件,正确的应该是C:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll

而不是\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll。

只要把C:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll这里的novas.dll拷贝到..\modeltech_6.5\win32下,取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。

找到 “; Veriuser = veriuser.sl”替换为“Veriuser = novas.dll”,(这里一定要注意前面的分号也要删除掉,否则无法正确运行,在这里差点吃大亏)

保存;关闭;设为只读。

也不需要在运行仿真脚本中加上vsim -pli novas.dll

易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!