Fixed Point Arithmetic in Chisel HDL

会有一股神秘感。 提交于 2019-12-13 02:24:03

问题


Are there any fixed point libraries in Chisel HDL which could be used to perform basic arithmetic operations such as add, subtract, multiply and divide?


回答1:


I believe a Fixed class is under active development. Take a look at Fixed.scala in the Chisel repo.



来源:https://stackoverflow.com/questions/35237958/fixed-point-arithmetic-in-chisel-hdl

易学教程内所有资源均来自网络或用户发布的内容,如有违反法律规定的内容欢迎反馈
该文章没有解决你所遇到的问题?点击提问,说说你的问题,让更多的人一起探讨吧!