Logic to build 8x1 Mux Using Vivado HLS (Xilinx)

前端 未结 0 1748
北海茫月
北海茫月 2021-02-20 13:48

I want to create 8x1 MUX IP BLOCK through HLS. MUX block should be 8 inputs and single output. Eight input streams :Each input stream data width : 32 bit and output should be si

相关标签:
回答
  • 消灭零回复
提交回复
热议问题