Chisel 3.4.2 syncmem and a black box. No memory replacement with --repl-seq-mem option

前端 未结 0 845
没有蜡笔的小新
没有蜡笔的小新 2021-02-19 11:54

I run MemtestInst code with --repl-seq-mem option. It has a black box and a SyncReadMem. No memory replacement happens and config file is empty. If I comment MyBBox line or use

相关标签:
回答
  • 消灭零回复
提交回复
热议问题