VHDL: creating a very slow clock pulse based on a very fast clock

前端 未结 4 1506
悲哀的现实
悲哀的现实 2021-01-02 22:35

(I\'d post this in EE but it seems there are far more VHDL questions here...)

Background: I\'m using the Xilinx Spartan-6LX9 FPGA with the

4条回答
  •  半阙折子戏
    2021-01-02 22:49

    Note for this example to work this line,

    signal clk_enable_counter : std_logic_vector(9 downto 0);

    must be changed to

    signal clk_enable_counter : unsigned(9 downto 0);

    and you'll need to include this library,

    library ieee; use ieee.numeric_std.all;

提交回复
热议问题