In verilog printing signed integer value stored in a variable of type reg
How do I print a signed integer value stored in an 8 bit register declared as reg [7:0] acc; Using $display("acc : %d", acc) It prints the unsigned value. Whats the correct syntax for the $display function ? If you declare the reg as signed , $display will show the minus sign: module tb; reg signed [7:0] acc; initial begin acc = 8'hf0; $display("acc : %d", acc); end endmodule /* Prints out: acc : -16 */ Ran into this problem as well and looked through the SystemVerilog 2012 standard, but didn't see any mention of signedness in the section about format specifiers. Here's an alternative