info

ELK报错及解决方案

别等时光非礼了梦想. 提交于 2019-12-06 21:08:54
1.jdk版本问题 报错如下: future versions of Elasticsearch will require Java 11; your Java version from [/usr/local/jdk9/jdk-9.0.4] does not meet this requirement Java HotSpot(TM) 64-Bit Server VM warning: Option UseConcMarkSweepGC was deprecated in version 9.0 and will likely be removed in a future release. 解决方案: 由于Elasticsearch依赖于jdk,es和jdk有着对应的依赖关系。具体可见: https://www.elastic.co/cn/support/matrix https://www.elastic.co/guide/en/elasticsearch/reference/7.2/setup.html 这里是说Elasticsearch该版本内置了JDK,而内置的JDK是当前推荐的JDK版本。当然如果你本地配置了JAVA_HOME那么ES就是优先使用配置的JDK启动ES。 ES推荐使用LTS版本的JDK(这里只是推荐,JDK8就不支持),如果你使用了一些不支持的JDK版本

堆Heap

有些话、适合烂在心里 提交于 2019-12-06 20:46:29
Heap package DataStructures.Heaps; /** * Interface common to heap data structures.<br> * <p>Heaps are tree-like data structures that allow storing elements in a specific * way. Each node corresponds to an element and has one parent node (except for the root) and * at most two children nodes. Every element contains a key, and those keys * indicate how the tree shall be built. For instance, for a min-heap, the key of a node shall * be greater than or equal to its parent's and lower than or equal to its children's (the opposite rule applies to a * max-heap).</p> * <p>All heap-related operations

Celery定时任务

送分小仙女□ 提交于 2019-12-06 20:39:44
目录树 celery_app | | - - __init__ . py # celery应用文件 | | - - celeryconfig . py # celery应用配置文件 | | - - task1 . py # 任务文件1 | | - - task2 . py # 任务文件2 文件内容 __init__.py 文件内容如下: from celery import Celery app = Celery ( 'demo' ) # 通过celery实例加载配置模块 app . config_from_object ( 'celery_app.celeryconfig' ) celeryconfig.py 文件内容如下: from datetime import timedelta from celery . schedules import crontab BROKER_URL = 'redis://localhost:6379/1' CELERY_RESULT_BACKEND = 'redis://localhost:6379/2' # 是否丢弃运行结果(丢弃结果会提升效率) # CELERY_IGNORE_RESULT = True # 指定时区, 默认是UTC时间,由于ceery对时区支持不是很好,所以我选择不指定 # CELERY_TIMEZONE = 'Asia

eclipse出现Exception in thread "main" java.lang.NoClassDefFoundError

落花浮王杯 提交于 2019-12-06 20:22:33
昨天晚上在跑hadoop程序的时候,新建了一个工程然后不知怎么地就一直出现 Exception in thread "main" java.lang.NoClassDefFoundError 错误如下: Exception in thread "main" java.lang.NoClassDefFoundError : WordCount/class Caused by: java.lang.ClassNotFoundException: WordCount.class at java.net.URLClassLoader$1.run(URLClassLoader.java:217) at java.security.AccessController.doPrivileged(Native Method) at java.net.URLClassLoader.findClass(URLClassLoader.java:205) at java.lang.ClassLoader.loadClass(ClassLoader.java:321) at sun.misc.Launcher$AppClassLoader.loadClass(Launcher.java:294) at java.lang.ClassLoader.loadClass(ClassLoader.java:266)

uvm macro (常用的)[uvm_info]

六月ゝ 毕业季﹏ 提交于 2019-12-06 19:24:29
`uvm_info(1,2,3) 1:ID 2:MSG 3:VERBOSITY 如果VERBOSITY级别低于reporter组件定义的级别,就会调用uvm_report_info 举例: --> `uvm_info("DRV_RUN",{req.sprint()},UVM_HIGH) --> `uvm_info("MY_INFO",$sformatf("pval:%0d",val),UVM_LOW) 也可以自行定制: `uvm_info_begin("MYID","this is a message for test...",UVM_LOW) `uvm_message_add_tag("author”,ZHUHAI) `uvm_message_add_object(my_obj) `uvm_info_end 来源: CSDN 作者: 竹海EE----WMY 链接: https://blog.csdn.net/weixin_41241296/article/details/79383663

uvm_info信息定制

北城余情 提交于 2019-12-06 19:23:55
1,uvm自带的打印信息国语繁重,不利于debug `uvm_info("TESTCASE",$sformatf("my_case0 new"),UVM_DEBUG); UVM_INFO /home/zl/Desktop/uvm_study/template/sim/tests/my_case0.sv(29) @ 0: uvm_test_top [TEST_CASE] my_case0 new 修改目标: UVM_INFO @ 102.2ns uvm_test_top [TEST_CASE] my_case0 new 取消打印文件路径,修改打印时间格式 源代码分析: uvm_message_defines.svh // MACRO: `uvm_info // //| `uvm_info(ID,MSG,VERBOSITY) // // Calls uvm_report_info if ~VERBOSITY~ is lower than the configured verbosity of // the associated reporter. ~ID~ is given as the message tag and ~MSG~ is given as // the message text. The file and line are also sent to the uvm

UVM基础之---------Reporting Classes

最后都变了- 提交于 2019-12-06 19:23:31
Reporting 类提供了一组工具用于格式化报告输出 report机制大概包括四个主要的类uvm_report_object,uvm_report_handler, uvm_report_server,uvm_report_catcher, UVM reporting主要的接口是uvm_report_object(这是一个接口类),这是uvm_components的父类。 uvm_report_object通过 内部的function调用 uvm_report_handler的function来执行大部分的工作。 uvm_report_handler存储了对消息的显示和处理的一些配置信息,他对消息的处理进行决策,并对消息进行一些格式化,过滤等。最终消息被将被uvm_report_handler送到uvm_report_server。而uvm_report_catcher实际上就是一个uvm_report_cb,他对特定的uvm_report_object发出的消息进行抓取。 下图是reporting类的继承关系: uvm_report_object: 1. 通过此接口,组件发起发生在仿真过程中的各种message。 Users can configure what actions are taken and what file(s) are output for

深入理解uvm宏机制

▼魔方 西西 提交于 2019-12-06 19:21:23
本文以uvm_info宏来展开说明uvm宏机制。 基础是uvm_report_object类的使用,该类继承uvm_object。理解uvm_info宏,需要了解uvm_report_info 和 uvm_report_enabled方法。 uvm_report_enabled就像开关一样,管着是否输出信息。笔者可能说得较为笼统,大体意思是一样的。这里面有个比较抽象的东西, verbosity。 刚开始直接把它理解为等级。举个更为普遍的例子,平常考试会评优秀,良好,及格,不及格等等级来划分学生的层次。如果我们开始把这四层进行编号:优秀 以上为0;良好以上为 1;及格以上为 2;不及格以上为 3。如果定义一种方法,目的实现查询成绩信息,其参数为x,那就很容易实现输出x等级以上的统计信息。例如取x为2,就可以输出及格以上成绩信息。verbosity正是这个意思。 对于uvm_report_info的定义请看http://blog.csdn.net/weixin_41241296/article/details/79383663。 接下来就介绍宏机制。 `define uvm_info(A,B,C) A:ID B:MSG C:VERBOSITY begin if(uvm_report_enabled(C, UVM_INFO ,A ) uvm_report_info(A,B,C,...,.

关于uvm report机制

本秂侑毒 提交于 2019-12-06 19:21:11
zz: http://bbs.eetop.cn/thread-447424-1-1.html 首先,提一个看似简单的问题:在使用uvm的做验证的时候,经常需要打印信息,比较常用的可能就是`uvm_info 和uvm_report_info之类的了,那么`uvm_info 和 uvm_report_info之间有没有什么区别呢? 下面是`uvm_info的宏展开: 108 `define uvm_info(ID,MSG,VERBOSITY) 109 begin 110 if (uvm_report_enabled(VERBOSITY,UVM_INFO,ID)) 111 uvm_report_info (ID, MSG, VERBOSITY, `uvm_file, `uvm_line); 112 end 可以看出`uvm_info宏就是调用了uvm_report_enabled function做了一个verbosity_level和action的判断其是否允许进行report操作。关于action和verboisty_level在uvm中可以对其进行很精细的控制,如下面所示: 1)action设置:从上到下,范围由小到大,精细度由高到低,判别优先级由高到低 (severity, id) id -> action severity 2)verbosity设置:从上到下, 范围由小到大

SpringBoot2.0学习笔记:(四) Spring Boot的日志详解

耗尽温柔 提交于 2019-12-06 17:54:57
一、日志框架的介绍 市面上有许多的日志框架,比如 JUL( java.util.logging), JCL( Apache Commons Logging), Log4j, Log4j2, Logback、 SLF4j、 jboss-logging等等。 Spring Boot 2.*默认采用了slf4j+logback的形式 ,slf4j是个通用的日志门面,logback就是个具体的日志框架了,我们记录日志的时候采用slf4j的方法去记录日志,底层的实现就是根据引用的不同日志jar去判定了。所以Spring Boot也能自动适配JCL、JUL、Log4J等日志框架,它的内部逻辑就是通过特定的JAR包去适配各个不同的日志框架。 从上图可以看出,Spring Boot通过jul-to-slf4j.jar去适配了JUL日志框架,通过log4j-to-slf4j.jar去适配了log4j日志框架。我们得知道,Spring5.x相对于Spring4.x有个不同的地方就是对底层使用的日志框架有了个大的改变,去除了原来默认使用的JCL 框架,而是采用SLF4j这个通用的日志门面,所以Spring Boot2.x相对于Spring Boot1.x来说去除了对JCL的适配。 SpringBoot能自动适配所有的日志,其底层使用slf4j+logback的方式记录日志,引入其他框架的时候,只需要